CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CI

搜索资源列表

  1. html2pop3

    0下载:
  2. 通过http协议发送pop3请求,或者通过pop3协议获取邮件信息的示例。-Quando ci si trova dietro ad un proxy aziendale, o quando ci si trova nella condizione in cui le porte POP3, SMTP o NNTP sono state chiuse all interno di un azienda, ci si puo trovare nell impossibilita di
  3. 所属分类:.net

    • 发布日期:2017-04-10
    • 文件大小:1889743
    • 提供者:peng
  1. shoping

    0下载:
  2. 由于换季,ACM商场推出优惠活动,以超低价格出售若干种商品。但是,商场为避免过分亏本,规定某些商品不能同时购买,而且每种超低价商品只能买一件。身为顾客的你想获得最大的实惠,也就是争取节省最多的钱。经过仔细研究过,我们发现,商场出售的超低价商品中,不存在以下这种情况: N(3<=n)种商品C1,C2,…,Cn,其中Ci和Ci+1是不能同时购买的(i=1,2,…,n-1),而且C1和Cn也不能同时购买。 请编程计算可以节省的最大金额数。-shoping lest
  3. 所属分类:Data structs

    • 发布日期:2017-04-06
    • 文件大小:1429
    • 提供者:wyt
  1. B06040324_A4

    0下载:
  2. 加密 要求:(1)输入任意一段明文M,以及密钥K (2)根据以下公式将其转换为密文C。 Ci = mi + K ,其中i = 0,1,……n-1 , K 为密钥; (3)具有输入输出界面。 -Encryption requirements: (a) enter any period of plaintext M, and the key K (2) according to the following formula to convert ciphertext C. C
  3. 所属分类:Console

    • 发布日期:2017-03-27
    • 文件大小:306668
    • 提供者:Chengwei Xiao
  1. startbbs_1.1.2

    0下载:
  2. StartBBS(起点开源社区系统)是一个基于CI MVC架构开发的新型php社区系统,她轻量小巧, 简单易用,强大高效的开源论坛系统。又简称”SB(烧饼)”。-StartBBS (starting point of the open source community systems) is based on a CI MVC architecture and development of new php community systems, she lightweight compact, e
  3. 所属分类:.net

    • 发布日期:2017-03-29
    • 文件大小:969260
    • 提供者:吴少奎
  1. yi-yuan-er-ci-

    0下载:
  2. 解一元二次方程,并判断有解无解,接出实数解和虚数解-Solving a quadratic equation
  3. 所属分类:Algorithm

    • 发布日期:2017-04-11
    • 文件大小:961
    • 提供者:dave@
  1. txt

    0下载:
  2. 最新编写 php CI框架txt上传 使用/n /t空格换行-Txt framework written in php CI latest uploads using the/n/t space with newline
  3. 所属分类:Linux Network

    • 发布日期:2017-04-11
    • 文件大小:930
    • 提供者:andy
  1. cover

    0下载:
  2. 覆盖问题 ★问题描述: 通信公司准备在n 个村庄中的某些村庄安装基站,对于村庄i ,在其中建立基站的花费 是Ci。我们把村庄看成是一个二维平面上的点。对于每一个基站,他们的规格,配置都是 相同的,一个基站发射的信号可以覆盖以它为中心的半径为R 米的圆形区域(边界上的也 算被覆盖)。现在公司希望用最小的花费,使得这n 个村庄都能被信号覆盖。 ★实验任务: 对于给定的村庄的位置和修建基站的信息,求出公司覆盖所有村庄的最小花费。 ★数据输入: 第一行是一个整数n(1
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:562
    • 提供者:迷若烟雨
  1. 56876346

    0下载:
  2. CI扩展标签栏为iOS,精选ios学习编程源码,很好的参考资料。-CI extensions tab for iOS, select IOS to learn programming source code, a good reference.
  3. 所属分类:iPhone

    • 发布日期:2017-04-16
    • 文件大小:55364
    • 提供者:南煎丸子
  1. gauss_seidel

    0下载:
  2. La méthode Gauss-Seidel est une méthode itérative de résolution d un système linéaire (de dimension finie) de la forme Ax = b, ce qui signifie qu elle génère une suite qui converge vers une solution de cette équation, lorsque celle-ci en a une et lor
  3. 所属分类:Algorithm

    • 发布日期:2017-04-15
    • 文件大小:7075
    • 提供者:zineb24
  1. CPPcifafenxiqi

    0下载:
  2. 编译原理词法分析器,用C++实现。用于识别C++语言。-zhe shi yi ge ci fa fen xi qi. xi wang da jia xi huan. xie xie !
  3. 所属分类:Compiler program

    • 发布日期:2017-04-08
    • 文件大小:4620
    • 提供者:高修
  1. CPP-C

    0下载:
  2. 这是一个编译原理词法分析器的实验报告,包含相关代码!-ci fa fen xi qi,xi wang da jia xi huan.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-06
    • 文件大小:24973
    • 提供者:高修
  1. sgu236.cpp.tar

    0下载:
  2. sgu problem 236 hint : using binary search on sigma(ci)/sigma(ti) and then check if graph has negetive cycle
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:899
    • 提供者:amir hossein
  1. amp-latency-AR-test

    0下载:
  2. Burg算法功率谱估计,采样点数nfft不会影响MAX值和位置. s=data22(6,:) s=s(50:150) nfft=2048 fs=500 EEG [px1,f1]=pburg(s,6,nfft,fs) figure plot(f1,px1) title( CI——Burg算法功率谱估计 ) xlabel( Frequency(Hz) ) ylabel( Power ) IndMax=find(diff(sign(diff(p
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:587
    • 提供者:yanlili0703
  1. GPRS-test-code

    0下载:
  2. BUTTON LPC2148 The Virtual System Modeling (VSM) component complements the PROTEUS package ... standard and high resolution passive STN, and 36-hit active matrix TFT LCD panels. ...... Antenna impedance 50 CI Data rate 2.4 kbps Transmit power Appro
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:1480
    • 提供者:QBIT
  1. yiyuanerci

    0下载:
  2. java 编写的简单一元二次方程图形界面 -yi yuan er ci fang cheng
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:1447
    • 提供者:suo
  1. av7110_ca

    0下载:
  2. CA and CI stuff for Embedded Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:2753
    • 提供者:vuxiuneng
  1. qvod4.5

    0下载:
  2. qvod4.5二次开发适合新朋友学习使用-qvod4.5 ER CI KAI FA
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-07
    • 文件大小:2944
    • 提供者:马赫
  1. ciscode

    0下载:
  2. CI driver in conjunction with NetUp Dual DVB-T RF CI card.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:7036
    • 提供者:fontongmiu
  1. HVSnap

    0下载:
  2. 大恒相机连续采集示例程序,本程序的功能为演示摄像机的连续采集功能编译、连接并运行程序,点击Snap菜的"Open"、"Start"按钮,使摄像机开始连续采集。-请键入文字或网站地址,或者上传文档。 取消 Dà héng xiàngjī liánxù cǎijí shìlì chéngxù, běn chéngxù de gōngnéng wéi yǎnshì shèxiàngjī de liánxù cǎijí gōngnéng biānyì, liánjiē bìng yùnxíng
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:33281
    • 提供者:柯霞
  1. Kq

    0下载:
  2. 考勤系统的二次开发,计算出勤时间、缺勤时间,加班时间。-kao qin xitong de er ci kai fa,ji suan chuqin shijian and que qin shijian。
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:698095
    • 提供者:徐茁
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 »
搜珍网 www.dssz.com