CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CIC滤波器

搜索资源列表

  1. CIC_Ccode

    0下载:
  2. 实现通信中下变频所用的cic滤波器的代码,可以直接使用在工程中-the cic filter c code
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:184038
    • 提供者:zhou
  1. cic_com

    0下载:
  2. cic滤波器设计与实现 很好的例子 欢迎大家下载使用啊-cic filter cic cic filter cic cic filter cic cic filter cic
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:677
    • 提供者:
  1. Cic_Interpolator

    0下载:
  2. 实现了2级cic滤波器的功能,其中内插32倍,即实现了32倍的2级cic内插滤波器-Realize the level 2 cic filter function, including 32 times interpolation i.e. the 32 times the level 2 cic interpolation filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1935
    • 提供者:李小白
  1. 11

    0下载:
  2. CIC性能比较,可分析CIC滤波器的频谱响应曲线-CICfunction compare
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:800
    • 提供者:huang xuejiao
  1. c13

    0下载:
  2. CIC滤波器的插值运算,并可以实现数字下变频的整个算法的研究,与仿真-design and simulink
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:3270
    • 提供者:huang xuejiao
  1. CIC-FILTER

    0下载:
  2. 有关级联积分梳状滤波器的硬件描述语言,用VHDL编写,共有五级-CIC FILTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:822
    • 提供者:周春浩
  1. CIC_bishe

    0下载:
  2. 测试CIC滤波器的基本性能,并对CIC滤波器做进一步说明!-To test the basic performance of the CIC filter, and the CIC filter to do further!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5481
    • 提供者:李成龙
  1. cic_5th_order_pipe1

    0下载:
  2. system generator 环境中构造cic滤波器模型-cic filter model constructed in the system generator environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:11739
    • 提供者:qx
  1. DVBS_variable_interpo_ation_rate_in_the_CIC_filter

    0下载:
  2. DVBS中可变插值率CIC滤波器设计及其FPGA实现-DVBS variable interpolation rate in the CIC filter design and FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262626
    • 提供者:saxon
  1. vhdl

    0下载:
  2. cic 滤波器,vhdl代码 ,内插与抽取-cic filter ,vhdl code about decination and interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:13068
    • 提供者:唐文明
  1. CIC_fir-Verilog

    0下载:
  2. 本程序是一个CIC滤波器设计,有助于初学者对滤波器设计设计有一个初步的了解-CIC fir
  3. 所属分类:MPI

    • 发布日期:2017-11-28
    • 文件大小:742
    • 提供者:colin
  1. singleandmulti_cic

    0下载:
  2. CIC滤波器的fpga实现 实现语言是vhdl 里面包括两个工程分别是单级cic 和多级cic-cicfilter fpga sigcic mulcic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:3571711
    • 提供者:孙范瑞
  1. CICzhengli

    1下载:
  2. 整合本站所有CIC滤波器能用的下载,并给出最好的选择,节省您的时间,花一次费用享受多次代码下载-Integration site CIC filter can download and gives the best choice, saving you the time to spend a one-time cost to enjoy several Codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:59917
    • 提供者:胡昊波
  1. cic

    0下载:
  2. 积分梳状滤波器的硬件实现,主要是实现在允许范围内进行抽取滤波,实现数据压缩-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:2367
    • 提供者:zhangyang
  1. 24CIC

    0下载:
  2. 基于fpga的抽取CIC滤波器设计,采用verilog编写,24抽取,仿真通过-Fpga-based CIC decimation filter design using verilog written, 24 extraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:3638113
    • 提供者:zengdeqian
  1. interp_24_cic

    0下载:
  2. 基于fpga的插值CIC滤波器设计,采用verilog编写,24倍插值,仿真通过-Fpga-based interpolation CIC filter design using verilog write, 24x interpolation, through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:2337184
    • 提供者:zengdeqian
  1. CICfilterSim

    0下载:
  2. CIC 滤波器的设计演示程序 可以运行的 还有分析性能-CIC filter design demo program that can run as well as to analyze the performance
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2893
    • 提供者:钱世杰
  1. cic_filter

    0下载:
  2. 5阶cic滤波器 使用vdhl编写 下载后将tb代码烤出 新建,然后综合仿真!-5 cic filter using vdhl written order to download the code will tb baked New, and then integrated simulation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2127
    • 提供者:
  1. CIC_4ORDER

    0下载:
  2. 4阶24倍抽取CIC滤波器的verilogHDL源代码,仿真测试代码及相关资料-4-order CIC decimation filter 24 times verilogHDL source code, simulation test code and related information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3625385
    • 提供者:丛梦龙
  1. FPGA_CIC

    0下载:
  2. 用Count计数法实现5级CIC滤波器,能够提前或者延迟一个周期采样。能综合-Implementation level 5 CIC filter with Count counting method, one can advance or delay the sampling period.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:767
    • 提供者:lihe
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com