CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DDS 正弦

搜索资源列表

  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. dds

    0下载:
  2. DDS正弦信号发生器 频率和相位连续可调。频率最大2M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3806
    • 提供者:dsf
  1. dds正弦发生器代码

    0下载:
  2. 讲述了dds直接数字频率合成的基本原理,同时用VHDL语言编写dds原代码用于生成正弦波,并在ISE开发平台进行仿真和MATLAB验证正弦波输出结果-described dds direct digital frequency synthesis of the basic tenets addition to the use of VHDL prepared dds source used to produce sine, and ISE development platform for sim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:491278
    • 提供者:czy
  1. 基于stm32的DDS芯片AD9851驱动

    1下载:
  2. 用stm32驱动AD9851,能够精确产生72M频率以内的正弦波和占空比可调的方波
  3. 所属分类:源码下载

  1. VERILOG DDS 正弦输出

    2下载:
  2. Verilog 编写
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-12
    • 文件大小:1791
    • 提供者:ymthink
  1. DDS.采用AD9851设计的一个产生50M 方波

    1下载:
  2. 采用AD9851设计的一个产生50M 方波,正弦波,锯齿波,包括资源:C源程序代码,电路原理图,PCB, 绝好的项目开发资料。,AD9851 design using a square wave generated 50M, sine wave, sawtooth, including resources: C source code, circuit schematics, PCB, excellent information on the project development.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2015-09-19
    • 文件大小:62004
    • 提供者:涂龙
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. DDS

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序.-DDS program folder, complete direct digital frequency synthesis function, sine, triangle, square
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:433160
    • 提供者:王金
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
  1. DDS_VERILOG

    0下载:
  2. verilog dds 在发生正弦波时,很好的参考代码-verilog dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3011
    • 提供者:王洋
  1. DDS

    0下载:
  2. dds 正弦信号发生器步进100HZ 最高频率可达900kHZ 最低频率可大2.3Khz-dds signal generator sin walingbeam 100HZ
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:989114
    • 提供者:lin65505578
  1. dds

    0下载:
  2. 高精度高速正弦波生成,正弦波相位和正弦波频率可调。-make sin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:673
    • 提供者:sunhao
  1. DDS

    0下载:
  2. 基于FPGA的DDS正弦信号设计,文件中有源代码(Design of DDS based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-13
    • 文件大小:51310
    • 提供者:hdu
  1. 实验13-DDS技术-键盘反转法-2

    0下载:
  2. 用MSP430外加矩阵键盘实现DDS正弦波,方波的输出(Using MSP430 plus matrix keyboard to achieve DDS sine wave, Fang Bo output)
  3. 所属分类:嵌入式/单片机编程

« 12 3 4 5 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com