CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - EDA

搜索资源列表

  1. EDAx_PLIB

    0下载:
  2. EDA经典算法PBIL程序,与大家分,共同进步-PBIL algorithm EDA classical procedures, and that we can progress together
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:1165
    • 提供者:迁回
  1. qiangda

    1下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9161812
    • 提供者:shaozhen
  1. EDA

    0下载:
  2. 很好的EDA课件,里面包括了几个实验,适合初学者参考-EDA good courseware, which include several experiments, for reference for beginners
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:562713
    • 提供者:cheng
  1. qiangdaqi-EDA

    0下载:
  2. 智能抢答器的EDA实现: 1. 四人参赛每人一个按钮,主持人一个,按下就开始; 2. 每人一个发光二极管,抢中者灯亮; 3. 有人抢答时,喇叭响两秒; 4. 抢答时限10秒,从有人抢答开始记时,10秒内不回答问题时喇叭发出两秒声响 -Smart Responder of EDA to achieve: 1. Four entries per person of a button, a moderator, click on Start 2. Each a light-emi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:38938
    • 提供者:xiaoxiao
  1. EDA

    0下载:
  2. 用EDA实现串行输入并行输出的移位寄存器,附带仿真-Serial Input with EDA parallel output shift register, with simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4505
    • 提供者:文心
  1. cnt10

    0下载:
  2. 这个是eda写10位计数器的示范程序,在试验箱上运行成功-This is eda wrote 10 counter demonstration program, run successfully in the test chamber
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:139325
    • 提供者:吴恒
  1. EDA

    0下载:
  2. 基于EDA技术的八路智力抢答器的设计,原理图和VHDL程序-based on EDA technology, intellectual Responderbased on EDA technology, intellectual answering device design, process schematics and VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:384572
    • 提供者:何乐
  1. EDA

    0下载:
  2. 一些关于EDA应用,以及VHDL的介绍和说明,很实用的学习资料~-Some of EDA applications, as well as VHDL descr iption and explanation, it is useful to learn the information ~
  3. 所属分类:software engineering

    • 发布日期:2017-05-21
    • 文件大小:6427976
    • 提供者:lena
  1. clock

    1下载:
  2. vhdl做的简单的时钟,显示时分秒,可调时分,亮度。eda课程设计时所作。-vhdl do a simple clock display minutes and seconds, adjustable hours, brightness. eda made in curriculum design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1941
    • 提供者:shuoyoung
  1. LXY

    0下载:
  2. 正弦信号发生器的设计 EDA实验 代码已验证,此处为完整的文件。-Sine Signal Generator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1924283
    • 提供者:凌燕
  1. Taxi

    1下载:
  2. EDA课程设计出租车计价器的VHDL语言设计的程序 出租车计价器:5KM起计价,起始价5元,每公里1.2元;传感器输出脉冲为0.5m/个;每0.5km改变一次显示,且提前显示(只显示钱数)-EDA curriculum Taximeter the VHDL language design process Taximeter5KM from the valuationthe starting price of 5 yuan1.2 yuan per kilometersensor output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:165114
    • 提供者:李小璐
  1. verilog

    0下载:
  2. 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27825626
    • 提供者:lyy
  1. EDA-audioplayer

    0下载:
  2. EDA硬件乐曲播放实验工程文件,多模块设计-EDA hardware music players test project file, multi-module design. . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:515806
    • 提供者:Victor Yang
  1. EDA

    0下载:
  2. EDA设计报告,实现多功能数字钟功能,在此基础上加上闹钟,秒表-EDA
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:403225
    • 提供者:高超
  1. ADCINT

    0下载:
  2. EDA的ADC0809的应用,使用VHDL编码 实现模数转换功能,方法简单易行.-The ADC0809 EDA applications, the use of VHDL Coding analog-digital conversion function is simple and easy.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:463857
    • 提供者:寒星
  1. EDA

    0下载:
  2. 电子设计自动化,EDA,其中说明了这门课程的主要思想和设计的主要思路,对这门课程有个简要的理解-EDA use
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1866527
    • 提供者:刘晓娇
  1. 1602lcd

    0下载:
  2. Mars-EDA-S-Main-V2.0\51单片机相关程序\MCU示例程序-1602-Mars-EDA-S-Main-V2.0 \ 51 SCM related procedures \ MCU sample program-1602
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10614
    • 提供者:张淼林
  1. Comu-EDA

    0下载:
  2. Mars-EDA-S-Main-V2.0\51单片机相关程序\MCU示例程序-COMMU-Mars-EDA-S-Main-V2.0 \ 51 SCM related procedures \ MCU sample program-COMMU
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:7210
    • 提供者:张淼林
  1. EDA

    0下载:
  2. 各种EDA的实验用于爱好者学习分享,多多交流,此文件仅供参考 -Experiments for a variety of EDA enthusiasts to learn and share, interact more, this document is for reference only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4260432
    • 提供者:
  1. EDA-technology-in-SCM-Teaching

    0下载:
  2. EDA技术在单片机教学中的应用EDA technology in SCM Teaching-EDA technology in SCM Teaching
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:135423
    • 提供者:nanjing001
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com