CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ENC

搜索资源列表

  1. Convolutional-CPP-enc-dec

    0下载:
  2. Convolutional Coding, Encoder, Decoder, C++ Implementation, With Self Document In Program Comments
  3. 所属分类:Voice Compress

    • 发布日期:2017-04-01
    • 文件大小:8494
    • 提供者:SSN
  1. des

    0下载:
  2. **函数: DesDecString **目的: 解密给定长度的串 **参数: sKey 密钥串. 一般为8个字节长(实际解密时只用其前8个字节) ** nKeyLen 密钥长度. 如果密钥为字符串(以 \0 结尾),值可为0 ** 如果密钥不足8个字节, 密钥串后面不足的字节以 \0 填充 ** sSrc 解密前的串. 为8个倍数字节长 ** nSrcLen 解密前的串长度. 该串长度必须为8的倍数个字节 ** sDest 存放解密后的串. 为nSrcLen字节长
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:6007
    • 提供者:victor
  1. enc28j60circuitdesign

    0下载:
  2. The circuit given above is that of ENC 28j60 which is a chip by microchip company used in networking for ethernet.
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:71581
    • 提供者:rahul
  1. RJ45_trans

    0下载:
  2. specification of low price ethernet transformer used with the enc spi controller
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:357953
    • 提供者:whitediode
  1. enc-dec_sequence

    0下载:
  2. code source pour l algorithme compression d image avec visuel studio c++
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-25
    • 文件大小:46750
    • 提供者:amira
  1. C4-EncDec

    0下载:
  2. C1C2C3C4 Enc/Dec Packets
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-03-31
    • 文件大小:3509
    • 提供者:mimaka
  1. enc

    0下载:
  2. Encryption algorithm which encrypts your input data by the help of key
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:19728
    • 提供者:v
  1. fhcode

    0下载:
  2. huffman enc............................... -huffman enc............................... .........
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:877
    • 提供者:preethi
  1. fhstart

    0下载:
  2. huffman enc-huffman enc.................................................
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:677
    • 提供者:preethi
  1. rs-enc-255-239

    0下载:
  2. rs encoder21-rs encoder2111111111222222222222222222222222222222222
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1696
    • 提供者:Root
  1. cPP-enc

    0下载:
  2. safe your files by encrypting source code
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-31
    • 文件大小:984
    • 提供者:ali wahab
  1. ClassS57

    0下载:
  2. 用于S57数据解析的类,适用于电子海图的解析和ENC的显示使用。-s57 ReaderClasser
  3. 所属分类:CSharp

    • 发布日期:2017-04-02
    • 文件大小:12912
    • 提供者:panlei
  1. enc

    0下载:
  2. Encoder vhdl code basic implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:664
    • 提供者:Rishabh Bansal
  1. Three-ratio-method

    0下载:
  2. 电力变压器是电网中的最重要的设备之一,利用它可以把不同电压的电网联结在一起,组成复杂的电网或庞大的电力系统,并在其中发挥着重要的作用;电力变压器的可靠性直接关系到电网能否安全、高效、经济的运行。由于变压器长期连续在电网中运行,不可避免地发生各种故障和事故,因而如何对电力变压器在运行中的故障进行诊断,已成为电力部门急需解决的问题。 IEC三比值法是电力变压器内部故障诊断的常用方法。IEC三比值法是经IEC审议后,在四比值法(即罗杰斯法)的基础上修改而得到的一种简化的新的比值方法,它是将变压器
  3. 所属分类:Energy industry

    • 发布日期:2017-03-27
    • 文件大小:8967
    • 提供者:王显
  1. Design--o-f---a--Static--VAR--Compensator

    0下载:
  2. Design o f a Static VAR Compensator Using Mode l Re f e r enc e Adaptive Control-Design o f a Static VAR Compensator Using Mode l Re f e r enc e Adaptive Control
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:471183
    • 提供者:jyotsna
  1. enc-dec

    0下载:
  2. 又一个VB字符处理的例子,运行截图如上所示,有需要想学习的可下载源码,挺简单的一个小例子,希望大家喜欢。-A VB example of the character handling, run the screenshot shown above, there is a need to want to learn can download the source, a small example of very simple, hope you like.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:2110
    • 提供者:陈新爱
  1. enc-28j60

    0下载:
  2. 一个很方便的STM32网卡驱动,网卡是ENC28J60,不仅能实现局域网的TCPIP协议,也支持网页访问-A drive about ENC28J60 ,in the STM32 plateform .
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-10
    • 文件大小:2531437
    • 提供者:yuanlin
  1. enc

    0下载:
  2. C program to allow Encoding of images
  3. 所属分类:Project Design

    • 发布日期:2017-12-04
    • 文件大小:9917
    • 提供者:marc
  1. ENC_V3_3_source

    0下载:
  2. ENC 3v3 source with tcp/ip stack
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:170148
    • 提供者:asd
  1. enc

    0下载:
  2. CAN driver for EMS Dr. Thomas Wuensche CPC-USB/ARM7.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-05
    • 文件大小:2114
    • 提供者:lskiww1203
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com