CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 电梯 控制

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. lift

    0下载:
  2. 用fpga控制电梯,实现五层电梯的升降控制,运用vhdl编辑程序.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:276212
    • 提供者:郭翠双
  1. Elevator

    1下载:
  2. 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:162470
    • 提供者:xieyi
  1. shixibaogao

    0下载:
  2. FPGA电梯控制,是本人的课程设计,整篇报告格式上传-FPGAdiantikongzhi,shibenrende1kechengsheji,zhengpianbaogaoshangchuan
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:7680
    • 提供者:王蕊
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. wodewenjian

    0下载:
  2. 基于FPGA的电梯控制系统的设计 将电梯的运行状态划分为开门,一层,二层,三层,四层五个状态,设一层开门为电梯的初始状态,up1,up2,up3分别作为一层,二层,三层的上升请求,四层没有上升请求;down2,down3,down4分别作为二层,三层,四层的下降请求,同理一层是没有下降请求的;s1,s2,s3,s4分别作为一层,二层,三层,四层的停站请求;x1,x2,x3,x4分别作为一层,二层,三层,四层的停站请求显示;door作为门的状态,“0”表示关,“1”表示开;mode作为电梯的运
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:993
    • 提供者:吴海霞
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. vhdl

    0下载:
  2. 用FPGA实验台下载实现的简易电梯控制系统,-FPGA test-bed with a simple download to achieve the elevator control system,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:353412
    • 提供者:dowson
  1. Elevator

    0下载:
  2. 基于FPGA学校开发板实现4层电梯控制,引脚配置完毕,通过LED灯实现楼层切换-FPGA-based school boards to achieve 4-story elevator control, pin configuration is completed, achieved through the floor switch LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:632744
    • 提供者:sun
  1. the-elevator-control-system

    0下载:
  2. 基于fpga的电梯控制系统设计,采用双电梯联动的方式-Fpga-based elevator control system design, using dual elevator linkage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75331
    • 提供者:凌应龙
  1. dianti

    0下载:
  2. 基于FPGA的六层电梯控制模型 内含波形仿真图形-FPGA-based six-story elevator control model includes a waveform simulation graphics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:162761
    • 提供者:chen
  1. elevator_fpga

    0下载:
  2. 基于VHDL的FPGA设计,设计一个4层楼的电梯控制系统。-VHDL-based FPGA design, design elevator control system of a four-storey building.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:627815
    • 提供者:shiyan chen
  1. dianti

    0下载:
  2. 该程序实现了以FPGA为核心的电梯控制,可供本科毕业设计参考-The program implements the FPGA as the core of the elevator control, available for undergraduate graduation design reference
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4272
    • 提供者:党正正
  1. Verilog_HDL_elevator

    0下载:
  2. Verilog实现的基于FPGA的五层楼电梯运行控制逻辑设计-FPGA-based five-story elevator control logic implemented in Verilog design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:17944
    • 提供者:柯家豪
  1. elevator

    0下载:
  2. 电梯运行的控制系统,FPGA实现,基于Verilog(Control system of elevator operation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:proud
  1. 8层电梯控制器

    1下载:
  2. 自动电梯控制器,电梯内有八个输入按钮响应用户的上下楼层请求,并有八段数码管显示电梯当前所在楼层位置(there are eight input buttons in the elevator to respond to the user's request for going up and down the floor)
  3. 所属分类:VHDL编程

    • 发布日期:2021-03-04
    • 文件大小:1684480
    • 提供者:wtq0
搜珍网 www.dssz.com