CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 10位加法器

搜索资源列表

  1. delta-sigma-DAC

    0下载:
  2. 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1333600
    • 提供者:王凌
  1. add_10

    0下载:
  2. FPGA中基于Verilog语言的10位加法器设计,适合初学者学习FPGA-FPGA Verilog language-based 10-bit adder design, suitable for beginners to learn FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:530
    • 提供者:陈朋
  1. add

    0下载:
  2. 使用verliog语言去FPGA实现10位加法器(Using FPGA to implement 10 bit adder)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:451584
    • 提供者:ksxiaojiu
搜珍网 www.dssz.com