CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA DES VHDL

搜索资源列表

  1. des3.rar

    0下载:
  2. 3des加密算法实现,经过FPGA验证的!,3des encryption algorithm, after FPGA validation!
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:12798
    • 提供者:guochao
  1. FPGA

    1下载:
  2. 此课件是基于FPGA的加密芯片设计实例,DES的FPGA实现,包括DES加密算法简述,DES的伪代码描述,设计流程,运算电路模型设计,算法程序设计 -The courseware is based on the FPGA chip design example of encryption, DES for FPGA implementation, including the DES encryption algorithm briefly, DES pseudo-code descr ipt
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-06-22
    • 文件大小:3852564
    • 提供者:betty
  1. 3des_vhdl

    0下载:
  2. DES-3 VHDL Code implemented on FPGA ,including related document
  3. 所属分类:CA program

  1. DES

    0下载:
  2. DES算法的FPGA实现 希望能有用 。-DES algorithm can be useful to achieve the desired FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:399477
    • 提供者:house2
  1. des1

    0下载:
  2. 从万方数据库中下的介绍des加密以及解密的两片文章,是用FPGA实现的,pdf格式.希望对理解des加密以及解密的原理有所帮助。 -From the descr iption of the database under the des encryption and decryption of the two articles is the use of FPGA implementation, pdf format. Hope to understand the principles of
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:277676
    • 提供者:chengpan
  1. DES_VHDL

    0下载:
  2. DES VHDL FPGA CODING
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11314793
    • 提供者:张彬
  1. FPGA-BASIC-DES

    0下载:
  2. 采用vhdl实现DES算法,有详细的设计理论。为电子科技大学研究生论文。-VHDL realize the use of DES algorithm, a detailed design theory. For the University of Electronic Science and Technology Graduate thesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2537059
    • 提供者:邓秀华
  1. lab12_design_files

    0下载:
  2. des code source vhdl sur fpga-des code source vhdl sur fpga
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-01
    • 文件大小:70622
    • 提供者:med_fa
搜珍网 www.dssz.com