CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA Huffman

搜索资源列表

  1. FPGAHuffman

    0下载:
  2. 在嵌入式FPGA开发环境(ISE7.1)下的Huffman编解码的程序.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:6477
    • 提供者:huosijia
  1. huffman

    0下载:
  2. 用于FPGA的huffman算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10891
    • 提供者:caesar
  1. mp3_decoder

    0下载:
  2. mp3的VHDL实现,包括HUFFMAN编码器,量化器,子带滤波器.可用来开发:FPGA,ASIC.-mp3 of VHDL, including HUFFMAN encoder, quantizer, subband filters. Can be used to develop : FPGA, ASIC.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:37673
    • 提供者:六六
  1. huffman coders

    0下载:
  2. use FPGA to fulfill huffman coder
  3. 所属分类:源码下载

    • 发布日期:2011-05-27
    • 文件大小:10612
    • 提供者:826848032
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. code_huffman

    0下载:
  2. this code implements huffman coding on Xilinx FPGA.the code is designed for Xilinx SDK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1890
    • 提供者:tronix
  1. 1563_673_176_Paper

    0下载:
  2. test upload fpga huffman
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:295128
    • 提供者:Electron
  1. Huffmann-Coding-FPGA

    0下载:
  2. huffman coding in vhdl or verilog with explanation
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:478725
    • 提供者:sandeep
  1. mkjpeg.tar

    1下载:
  2. 用FPGA实现的JPEG编码器,可以直接使用,内含完成说明文档,经过验证无误。-• JPEG baseline encoding JPEG ITU-T T.81 | ISO/IEC 10918-1 • Standard JFIF header v 1.01 automatic generation • Color images only (3 components, RGB 24 or 16 bit, YUV input) • T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-04
    • 文件大小:21650432
    • 提供者:
  1. huffman(z)

    0下载:
  2. HUFFMAN编码,压缩,解压缩,FPGA课设用的-huffman code and encode
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-12-01
    • 文件大小:655001
    • 提供者:张良
  1. huffman

    1下载:
  2. 基于fpga的霍夫曼编码Huffman Encoder-Fpga-based Huffman coding Huffman Encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:19401
    • 提供者:nick
搜珍网 www.dssz.com