CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - HDB3编码

搜索资源列表

  1. HDB3

    0下载:
  2. 实现HDB3编码,文件清晰,执行效率高。有每条语句的说明,阅读简单。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2750
    • 提供者:王青
  1. hdb3

    0下载:
  2. 在VHDL平台上实现HDB3编码的源程序已调试完
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1337
    • 提供者:王晓鹏
  1. HDB3编码

    0下载:
  2. 本程序是关于通信原理的HDB3编码及其功率谱密度
  3. 所属分类:源码下载

  1. HDB3

    4下载:
  2. HDB3码的编码,图形,功率谱密度。用于通信原理教学等-Code HDB3 coding, graphics, power spectral density. Communication Theory for teaching
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1545
    • 提供者:连自锋
  1. HDB3

    1下载:
  2. 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:260243
    • 提供者:ganzhhua
  1. HDB3

    1下载:
  2. 用Verilog HDL语言进行HDB3编码,并通过Quartus Ⅱ仿真验证-With the Verilog HDL language HDB3 coding, and simulation by Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1362776
    • 提供者:jabeile
  1. 12

    0下载:
  2. hdb3编码的 hdb3编码的-HDB3 coding HDB3 encoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:897
    • 提供者:zhaoyong
  1. HDB3

    0下载:
  2. 实现HDB3编码,使用VHDL语言,-1用01表示,1用10表示,0用00表示。-The realization of HDB3 encoding, the use of VHDL language, 01 indicated by-1, 1, 10, said that the 0 with 00.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:273781
    • 提供者:zhangzhen
  1. chengxu

    0下载:
  2. 通信原理中所介绍到的有关AMI,HDB3编码的matlab程序-modulation of HDB3
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:2386
    • 提供者:张潜
  1. HDB3prg

    0下载:
  2. 用matlab仿真出HDB3编码以及译码和信源的仿真曲线-Matlab simulation using the HDB3 encoding and decoding and source of the simulation curve
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:2180
    • 提供者:秋雪雍
  1. HDB3

    0下载:
  2. 用VerilogHDL实现了从NRZ码到HDB3码的编码过程-NRZ code to HDB3 code using VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1187
    • 提供者:谈钒
  1. HDB3

    0下载:
  2. HDB3编码源程序,实现该编码的程序,编码源程序。vc++环境的。-hdb3 bian ma yuan cheng xu.shi xian hdb3 de bian ma .ji yu vc++ shi yan huan jing de cheng xu.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-25
    • 文件大小:270947
    • 提供者:麻麻
  1. HDB3

    0下载:
  2. HDB3编码器的设计 HDB3编码器的设计 -HDB3 Encoder HDB3 Encoder HDB3 Encoder HDB3 Encoder
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:97507
    • 提供者:
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266187
    • 提供者:一天
  1. hdb3

    0下载:
  2. hdb3编码源程序完整版,内含插B,插V程序,功能完整,欢迎下载-library ieee use ieee.std_logic_1164.all entity hdb3 is port(codein: in std_logic clk : in std_logic clr : in std_logic --复位信号 codeout: out std_logic_vector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1143
    • 提供者:lin
  1. hdb3_codedecode

    1下载:
  2. 用VERILOG实现的,hdb3编码器和解码器,经过前仿真和后仿真成功-Achieved with the VERILOG, hdb3 encoder and decoder, after a successful pre-simulation and post simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:434978
    • 提供者:Along
  1. hdb3

    0下载:
  2. 自己做的基于MATLAB的HDB3编码,已经通过了调试- HDB3 code based on MATLAB
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:566
    • 提供者:xuzhenxing
  1. hdb3

    0下载:
  2. verilog的HDB3编码设计,求点数
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:720
    • 提供者:临风
  1. HDB3

    0下载:
  2. HDB3编码 利用VDHL语言编写 实现数字基带传输HDB3编码-HDB3 code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1114
    • 提供者:kid
  1. HDB3编码

    0下载:
  2. 一个java版的实现通信原理中的HDB3编码器(A HDB3 encoder in the "Communication principle" of Java version.)
  3. 所属分类:Java编程

    • 发布日期:2018-01-04
    • 文件大小:22528
    • 提供者:magu
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com