CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PID verilog

搜索资源列表

  1. PID

    2下载:
  2. 用Verilog HDL编写的PID程序代码,成功调试,运行良好。-The source code of PID in Verilog HDL.Simulation was successful.
  3. 所属分类:其他小程序

    • 发布日期:2013-02-17
    • 文件大小:1233060
    • 提供者:Alen Fielding
  1. pid_controler_latest.tar

    2下载:
  2. PID控制器的verilog实现,做闭环控制器的人可以参考-PID controller verilog implementation of closed-loop controller may make reference to
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:3546
    • 提供者:
  1. fuzzy_inference

    0下载:
  2. VHDL模糊PID控制器模糊推理,推理结果:直接用经验值输出。-Fuzzy PID controller VHDL fuzzy reasoning, reasoning results: the direct use of the experience of the value of output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2000
    • 提供者:Huanggeng
  1. pid_vhdl_code

    0下载:
  2. PID controller... ... ... ... ... ... ... ... ..... -PID controller.....................................................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:71902
    • 提供者:kiran
  1. weifenxianxing

    0下载:
  2. 微分先行pid,c语言程序,平时做实验用的...大家不用编了,希望对大家有用-Difference to pid, c programming language, usually used to experiment ... we do not have compiled, we want to be useful
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5624022
    • 提供者:jun7
  1. fpga_pid

    0下载:
  2. 基于FPGA的温度模糊自适应PID控制器的设计-FPGA-based PID temperature fuzzy adaptive controller design
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-15
    • 文件大小:164039
    • 提供者:吴展理
  1. 232543

    0下载:
  2. FPGA Implementation of QFT based Controller for a Buck type DC-DC Power Converter and Comparison with Fractional and Integral Order PID Controllers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:823557
    • 提供者:Revathy
  1. pid

    0下载:
  2. It is a verilog code for a vedic multiplier using a barrel shifter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:849
    • 提供者:gopee
  1. FPGA_PID

    1下载:
  2. 本文讲的是基于FPGA的模糊PID控制器实现,详细介绍了Verilog HDL怎样用FPGA实现PID控制器-This article tells of fuzzy PID controller based on FPGA implementation details of how to use FPGA Verilog HDL realize the PID controller
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:272918
    • 提供者:王瑶
  1. DIGITAL-PID

    1下载:
  2. Use verilog language design DIGITAL-PID source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1034062
    • 提供者:
  1. pidd

    0下载:
  2. VERILOG HDL pid算法 带仿真验证-pid by verilog HDL
  3. 所属分类:Other systems

    • 发布日期:2017-12-15
    • 文件大小:5151744
    • 提供者:董然
  1. FUZZY

    3下载:
  2. verilog 模糊PID 通过修改MIF文件 可以完成单个参数整定-FUZZY pid by verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1030656
    • 提供者:董然
  1. fpga

    2下载:
  2. 利用verilog语言实现fpga双口RAM通信代码,PID算法控制电机速度代码,相关仿真测试程序
  3. 所属分类:VHDL编程

  1. fpga

    1下载:
  2. pid算法控制电机运动,实现fpga与dsp的双口RAM通信(PID algorithm to control motor movement, the realization of FPGA and DSP dual port RAM communication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:13411328
    • 提供者:峰语
  1. pidd

    1下载:
  2. verilog实现增量式PID算法,实测可用,带modelsim仿真(PID algorithm by verilog)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-29
    • 文件大小:1076224
    • 提供者:fgghz
  1. PI

    1下载:
  2. PID调节器,非常好用的PID调节器模块(PID regulator, very easy to use PID regulator module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:1819648
    • 提供者:wzy007
  1. PID_Verilog

    2下载:
  2. PID算法用verilog语言实现,实测可用,由三个模块组成(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-29
    • 文件大小:1024
    • 提供者:wrnd
  1. PID

    3下载:
  2. 利用Verilog语言实现PID增量式控制,输出占空比(Using Verilog language to realize PID incremental control and output duty cycle)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-29
    • 文件大小:1024
    • 提供者:人生苦短98
搜珍网 www.dssz.com