CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RGB to Y cbcr

搜索资源列表

  1. 101259378luv_rgb_yuv_lab_space

    1下载:
  2. Convert a color image between color representations. B = COLORSPACE(S,A) converts the color representation of image A where S is a string specifying the conversion. S tells the source and destination color spaces, S = dest<-src , or alte
  3. 所属分类:Graph program

    • 发布日期:2017-03-22
    • 文件大小:4595
    • 提供者:张灯结
  1. RGBtoYCbCr

    0下载:
  2. 采用FPGA实现色彩空间转换R’G’B’ to Y’CbCr的VHDL和verilog源代码,支持xilinx的各种器件. -FPGA realization of the use of color space conversion RGB to Y CbCr of VHDL and Verilog source code, to support a variety of Xilinx devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:411743
    • 提供者:Jackson
搜珍网 www.dssz.com