CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - TLC5510 verilog

搜索资源列表

  1. TLC5510_VHDL

    0下载:
  2. 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:930
    • 提供者:huangsong
  1. tlc5510

    0下载:
  2. TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1238687
    • 提供者:yangzhibin
  1. TLC5510

    0下载:
  2. 采用超高速AD存储示波器程序设计。器件是采用TLC5510。用FPGA来控制实现。-The ultra high speed AD storage oscilloscope programming. Device is used TLC5510. Using FPGA to control the implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1182
    • 提供者:刘大仔
  1. ADC_TCL5510-verilog

    0下载:
  2. verilog 驱动TLC5510代码,TLC5510是高速的AD,可达20MHz-verilog code driven TLC5510, TLC5510 is a high-speed AD, up to 20MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:565
    • 提供者:
  1. TLC5510

    0下载:
  2. TLC5510的驱动程序,采用Verilog语言编写-TLC5510 driver, the use of Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1202682
    • 提供者:890921
搜珍网 www.dssz.com