CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - U2.0

搜索资源列表

  1. Serv-U2

    0下载:
  2. 描述Serv-UFTP建立和维护手册,对那些刚接触FTP网友,特别是自己刚架设FTP的个人FTP管理员有帮助
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:1327295
    • 提供者:aijdf
  1. U2

    0下载:
  2. 一个关于SD卡的资料,主要是一些C的单片机源码.其它有些原理和源码,还有文件格式等
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2191122
    • 提供者:dz
  1. CS8900_linux-2.6.24.4

    0下载:
  2. linux2.6.24 S3C2410下的网卡CS8900驱动 具体移植方法可以参考我的blog http://www.cublog.cn/u2/63560/showart_514147.html
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:7331
    • 提供者:kevin
  1. DSA

    0下载:
  2. Digital Signature Algorithm (DSA)是Schnorr和ElGamal签名算法的变种,被美国NIST作为DSS(DigitalSignature Standard)。算法中应用了下述参数: p:L bits长的素数。L是64的倍数,范围是512到1024; q:p - 1的160bits的素因子; g:g = h^((p-1)/q) mod p,h满足h < p - 1, h^((p-1)/q) mod p > 1; x:x
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:136954
    • 提供者:wildkaede
  1. syb

    0下载:
  2. 单片机开发板的电路图 包含 PCB。。。。U1=89C51 U2=555 U3=MAX232 U4=24C01 U6=X25045看门狗 X1=共阳数码管 -Single-chip development board contains the circuit PCB. . . . U1 = 89C51U2 = 555U3 = MAX232U4 = 24C01U6 = X25045 watchdog X1 = total yang digital tube
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:44172
    • 提供者:sun
  1. dpjrm

    0下载:
  2. 单片机入门的书,很适合 初学者,U1=89C51 U2=555 U3=MAX232 U4=24C01 U6=X25045看门狗 X1=共阳数码管 -Singlechip book entry, it is suitable for beginners, U1 = 89C51U2 = 555U3 = MAX232U4 = 24C01U6 = X25045 watchdog X1 = total yang digital tube
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:253295
    • 提供者:sun
  1. u2.php

    0下载:
  2. php访问notes范例2 php官方手册上都没有详细记录,全是我自己摸索出来的!-php visit notes example 2 php official manuals are not detailed record, all groping out of my own!
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-03
    • 文件大小:7253
    • 提供者:wfnic
  1. iwanttofly2

    0下载:
  2. 专家点评: 9 p* g f8 J I8 u2 ]/ ea.作者具有良好的程序设计习惯及项目管理能力,设计模式运用的非常娴熟。项目设计文档是所有参赛者中最为详细和规范的,能够体会到作者那种有条不紊,游刃有余的程序设计气度。用户界面漂亮,如果能把某些操作放到前面板上而不需要到菜单里面去寻找则更好了。 C F, i, f6 }- zb.程序中将初始化的程序都并行地与两个循环放在一起,这样由于数据流的原因可能会有问题。 j2 u6 M9 T s% Q2 v( l c.优点:程序架构清晰,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:1557435
    • 提供者:卢永
  1. U2.0

    0下载:
  2. 内存注册机的使用方法如下: 把注册机下载后保存或解压到程序所在目录,执行注册机,原程序自动运行,选中注册框,在注册码输入栏内输入任意的字符,点确定,马上被内存注册机拦截,弹出正确的注册码,复制正确的注册码粘贴到注册码输入栏内点击注册便可完成注册!内存注册机只能用于相同版本软件,请注意核对版本号。 -Zhuceji memory usage is as follows: the Zhuceji download procedure to save or unzip to the dire
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:860170
    • 提供者:彭伟洪
  1. FPGA_4FFT

    0下载:
  2. 针对高速数字信号处理的要求,提出用FPGA 实现基- 4FFT 算法,并对其整体结构、蝶形单 元进行了分析. 采用蝶算单元输入并行结构和同址运算,能同时提供蝶形运算所需的4 个操作 数,具有最大的数据并行性,能提高处理速度 按照旋转因子存放规则,蝶形运算所需的3 个旋转 因子地址相同,且寻址方式简单 输出采取与输入相似的存储器 运算单元同时采用3 个乘法的 复数运算算法来实现.-In accordance with the requirements of high speed d
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:360629
    • 提供者:王晓
  1. U2

    0下载:
  2. 此程序为组合架1U而编写.采用单排按键控制双读卡机.功能完善,带USB.LED指示,有单独A/B切换功能.解决了1U按键不足的问题.-This combination of procedures has been prepared 1U rack. Keys to control the use of single-row dual-card reader. Functions, USB.LED with instructions, a separate A/B switch features.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:964
    • 提供者:邓小天
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. U2

    0下载:
  2. 生成web页面,是一个学生管理系统的界面。-Generated web pages, is a student management system interface.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:1564
    • 提供者:孟文正
  1. u2

    0下载:
  2. fast carry adder using VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:661
    • 提供者:Mallikarjun
  1. u2.0

    0下载:
  2. 演示:http://u2.71116.com/ 下载:http://u2.71116.com/u2.0.rar 2.0更新 1.程序模板分离 2.网站自动截图 3.关键字分词加强 4.网站百度:收录 快照 谷歌:收录 PR每天更新缓存 5.站长工具 6.统计方式改进 7.部分数据缓存处理 安装说明: 配置好 /config.inc.php 建好相关数据库后 执行 /install.php 安装数据库 后台:admin.php
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:589841
    • 提供者:htgg
  1. square_lattice_circular_air

    0下载:
  2. 这个程序可以用于四方晶格光子晶体空气孔柱的能带计算。给出了解析的折射率分布 u1=[1,0,0] u2=[0,1,0] u3=[0,0,1] -This code can be used in band structure of photonic crystal. It give out the dielectric distribution of circular air holes.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-02
    • 文件大小:13345
    • 提供者:steven
  1. square_lattice_circular_dielectric

    0下载:
  2. 这个程序可以用于四方晶格光子晶体圆柱的能带计算。给出了解析的折射率分布 u1=[1,0,0] u2=[0,1,0] u3=[0,0,1] -This code can be used in band structure of photonic crystal. It give out the dielectric distribution of circular rods.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-17
    • 文件大小:13205
    • 提供者:steven
  1. FBA-1.1.7-(u2)

    0下载:
  2. FBA 1.1.7 Source Code (u2)
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1488417
    • 提供者:name
  1. BDQN---U2--SuperMarket

    2下载:
  2. 北大青鸟U2项目--超市管理系统!实现账单,供应商,用户表的增删改查操作。具体看项目结构说明表,所有难点重点都有说明!-SuperMarket Admin
  3. 所属分类:Java Develop

    • 发布日期:2017-11-06
    • 文件大小:1720523
    • 提供者:Wyy
  1. (CN)8051_ISP(U2)_Programmer_v6.02

    0下载:
  2. ISP 是”In‐System Programming”的缩写。可使用户通过软件来更新用户程序,而不必从产品中取下芯片来。”8051 ISP(U2) 编程器”工具(见下图)。因为可以将用户程序存储到编程器里的非易失性记忆体里,所以这编程器可以进行脱机编程(不用连接到电脑), 非常适用与没有电脑的地方。
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1114935
    • 提供者:wanzxqian
« 12 3 4 »
搜珍网 www.dssz.com