CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 加法器

搜索资源列表

  1. 8位加法器

    1下载:
  2. 很简单很实用的8位加法器VHDL源代码!
  3. 所属分类:VHDL编程

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. vhdl五位加法器

    1下载:
  2. vhdl五位加法器
  3. 所属分类:VHDL编程

  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. VDHL

    0下载:
  2. Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:113548
    • 提供者:何柳
  1. lab2-2

    0下载:
  2. 4位二进制加法器,vhdl实现,外带译码器部分,清晰简洁,可读性好-4-bit binary adder, vhdl achieved decoder part of the bargain, clear and concise, readable good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:416663
    • 提供者:zart
  1. 44

    0下载:
  2. 加法器测试平台,具有键盘输入,屏幕显示功能-Adder test platform with a keyboard input, screen display
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1865
    • 提供者:赵朴
  1. mul

    0下载:
  2. 加法器树乘法器结合了移位相加乘法器和查找表乘法器的优点。它使用的加法器数目等于操作数位数减 1 ,加法器精度为操作数位数的2倍,需要的与门数等于操作数的平方。 因此 8 位乘法器需要7个15位加法器和64个与门-Adder tree multiplier multiplier combination of shift and add multiplier advantage of look-up table. It uses the adder operand is equivalent to
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:565
    • 提供者:肖毅
  1. testZ

    0下载:
  2. 八位加法器的原理图实现方法和一位半加器 全加器的原理图实现-Eight adder schematic diagram of the method and a half adder full adder schematic diagram of the realization of
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:274155
    • 提供者:miracle
  1. multiplier

    1下载:
  2. 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。-The multiplier is 8-bit adder consisting of time-series design to the 8-bit multiplier. The multiplication principle is: the sum of multiplica
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:103487
    • 提供者:lsp
  1. FPGA

    1下载:
  2. 基于FPGA数字乘法器的设计:数字乘法嚣是目前数字信号处理中运用最广泛的执行部件之一,本文设计了三种基于FPGA 的数字乘法器.分别是移位相加乘法嚣、加法器树乘法器和移位相加一加法嚣树混合乘法器。通过对三种方案的仿真综合以厦速度和面积的比较指出了混合乘法器是其中最佳的设计方案-FPGA-based digital multiplier design: the number of multiplicative noise is the use of digital signal processin
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:147296
    • 提供者:南才北往
  1. HG_chufaqi_clajiafaqi

    1下载:
  2. VHDL基-16位的无符号除法器,超前进位加法器可改位数。-VHDL-based-16 bit unsigned divider, CLA can be the median.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2335
    • 提供者:Huanggeng
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14983
    • 提供者:张霄
  1. adder

    0下载:
  2. 本设计是做了一个32位超前进位加法器,能够快速计算-This design is made of a 32-bit lookahead adder, to quickly calculate
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:39175
    • 提供者:zhaozimou
  1. adder

    0下载:
  2. 实现四位加法器,适合初学者学习VHDL语言(it's an addler of four bits which is designed for the new designer of VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:87040
    • 提供者:秦秦秦
  1. serial_adder

    0下载:
  2. 串行加法器的vhdl描述,用两个移位寄存器和一个全加器,一个d触发器实现(The VHDL descr iption of the serial adder, with two shift registers and a full adder, a D trigger)
  3. 所属分类:硬件设计

    • 发布日期:2018-01-08
    • 文件大小:143360
    • 提供者:daj
  1. 基于VHDL实现单精度浮点数的加-减法运算

    1下载:
  2. vhdl 加法器和减法器 希望对VHDL的同学有参考作用(VHDL adder and function as relative reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:669696
    • 提供者:angryzookey
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com