CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 键盘输入

搜索资源列表

  1. jianpanshuru

    0下载:
  2. 基于vhdl的键盘输入,学校的作业,已经过验证,可用-based on the keyboard input, the school operations, which have been verified available
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:63469
    • 提供者:ly
  1. ddsproject

    1下载:
  2. 用VHDL进行的dds系统设计,包括键盘输入和LCD显示,编译通过了
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:11617347
    • 提供者:居然
  1. dianziqin

    2下载:
  2. 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125026
    • 提供者:haiyang
  1. 俄罗斯方块VHDL实现

    1下载:
  2. 该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。
  3. 所属分类:VHDL编程

    • 发布日期:2011-05-17
    • 文件大小:3850145
    • 提供者:laolei112
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. S9_PS2_LCD

    0下载:
  2. 键盘输入液晶模块显示字符,在液晶显示屏上显示从PS2键盘输入的字符-Keyboard input LCD display module characters displayed in the LCD screen from the PS2 keyboard input characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:766503
    • 提供者:zl.yin
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. game-NIOS2

    0下载:
  2. 这是一款基于NIOSII的小游戏,主要由PS2键盘输入,并由1602液晶显示。-This is a game based on the NIOSII, mainly from PS2 keyboard input by the liquid crystal display 1602.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1055035
    • 提供者:谢震
  1. 4X4

    0下载:
  2. 基于CPLD的4X4键盘输入+液晶显示程序,以VHDL语言书写-CPLD based on the 4X4 keyboard input+ LCD procedures to VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:63242
    • 提供者:昌平荣
  1. jianpang

    0下载:
  2. 键盘扫描重复输入功能,用于单片机的键盘输入-To re-type the keyboard scanning function, the keyboard input for single-chip
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3582
    • 提供者:谭强
  1. vhdl

    0下载:
  2. ps2 vhdl 实现键盘输入 数码管显示ascii码-ps2 vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:187770
    • 提供者:建宁
  1. 44

    0下载:
  2. 加法器测试平台,具有键盘输入,屏幕显示功能-Adder test platform with a keyboard input, screen display
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1865
    • 提供者:赵朴
  1. fpgada0832

    0下载:
  2. 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A波形发生模块dac0832、幅值调整模块组成。采用DDFS技术,先将要求的波形数据存储于EEPROM中,这样可以保证掉电以后波形数据不丢失。-The waveform generator to single-chip microcomputer (MCS8031) as the central control unit, by the keyboard input module, digital tube
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:171926
    • 提供者:litong
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. keyboard_control

    0下载:
  2. 用VHDL语言实现键盘控制,用于fpga实现键盘输入的应用-keyboard control vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2565
    • 提供者:lailai
  1. vhdl

    1下载:
  2. 键盘去抖,电子密码锁,键盘输入去抖vhdl语言程序-Keyboard debounce
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-24
    • 文件大小:4275
    • 提供者:范萍伟
  1. VHDL

    0下载:
  2. 给芯片指令,通过输入4X4键盘输入不同的值来得到占空比 不同的信号-Instructions to the chip, 4X4 keyboard by entering different values ??to get different signal duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2845
    • 提供者:sven
  1. xiaodou

    0下载:
  2. vhdl键盘输入消抖动的一点源代码,希望能够共享一下-vhdl Keyboard input away shaking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3404
    • 提供者:事实上
  1. fpga

    0下载:
  2. 简单的键盘输入,点阵,数码管以及LCD显示(imple dot matrix, digital tube and LCD display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:14336
    • 提供者:学生时代
« 12 3 »
搜珍网 www.dssz.com