CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Generic

搜索资源列表

  1. 一些VHDL源代码

    0下载:
  2. 内有波形发生器,加法器,经典双进程状态机,伪随机熟产生器,相应加法器的测试向量,16×8bit RAM,FIFO,通用RAM等源程序-within waveform generator, Adder, classic dual-process state machine, cooked pseudo-random generator, the corresponding Adder test vector, 16 x 8bit RAM, FIFO, etc. source generic RAM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45110
    • 提供者:蔡孟颖
  1. I2S

    2下载:
  2. 这是一个I2S接口的VHDL实现源代码,I2S是一个通用的音频接口。-This is a I2S interface VHDL source code, I2S is a generic audio interface.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1583062
    • 提供者:孙浩
  1. VHDL_Memory_Library_Code

    0下载:
  2. 通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library. -generic VHDL code for memory, The Free Project VHDL IP Free-FIFO, Quartus standard library.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23722
    • 提供者:Jawen
  1. m15

    0下载:
  2. 扩频通信M序列,编码,通用VHDL语言-M sequence spread spectrum communication, coding, generic VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:75963
    • 提供者:sss
  1. m511new

    0下载:
  2. 扩频通信M511序列,编码,通用VHDL语言,用于相关-M511 sequence spread spectrum communication, coding, generic VHDL, for related
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60803
    • 提供者:sss
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. jisuanqi

    0下载:
  2. 用VHDL语言实现通用计算器设计,MUXPLUS2软件仿真验证-Implementation using VHDL language design generic calculator, MUXPLUS2 software simulation to verify
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1067377
    • 提供者:DAVID
  1. generic_testbench

    0下载:
  2. VHDL中关于generic的用法,及其testbench,可以使用Modelsim仿真查看其功能-the usage of generic,a testbench file is given, we can use it to simulate the generic s function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:1699
    • 提供者:xietianjiao
  1. cordic

    0下载:
  2. VHDL写的通用调制解调器的核心程序,通过调试 无错无警告-VHDL generic modem to write the core of the procedure, through no fault debugging without warning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:588
    • 提供者:zhaocheng
  1. fft_gen

    0下载:
  2. FFT vhdl generic: I m new to vhdl, and I tried to use xilinx fft core, but when I try to simulate it in test bench using ise simulator, I get zero results. here is what I do: 1- from core generator I choose fft core and create .vhd & .vho &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:6022
    • 提供者:Jayesh
  1. altera_up_flash_memory

    1下载:
  2. Altera公司大学计划中公布的基于VHDL的通用flash的IP核!-Altera' s University Program announced in the flash-based VHDL generic IP core!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:188841
    • 提供者:兔子
  1. hcsa_adder_latest(2).tar

    0下载:
  2. Hierarchical Carry Save Algorithm. HCSA Generic ALU.
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:616588
    • 提供者:charanyakannan
  1. VHDLcodes

    0下载:
  2. Behavioral descr iption of ALU, RAM MODULE, ROM MODULE, DIVIDE BY N COUNTER, GENERIC DIVIDER 2n+1, GCD CALCULATOR, GCD FSM CODE, JK FLIP FLOP in VHDL . These are fully synthesized codes with optimization.- Behavioral descr iption of ALU, RAM MODULE,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6163
    • 提供者:Vijay
  1. color_converter_latest.tar

    0下载:
  2. The main purpose of the core is a color transform tasks such as CIE XYZ<->RGB, different RGB<->RGB and RGB<->YCbCr operations. The main part of color conversions from one to another color system concludes in 3x3 matrix multiplicatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:338734
    • 提供者:Ning
  1. Axi_mux

    0下载:
  2. The elements come from the necessity of creating generic modules, in the verification phase, for this widely used protocol. These primitives are presented as a not compiled library written in SystemC where interfaces are the core of the lib
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:42182
    • 提供者:Paul Stephen
  1. mul

    0下载:
  2. VHDL实现通用乘法器,位数可以自定义,通过移位相加实现-VHDL generic multiplier, the median can customize the sum achieved by shifting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1153051
    • 提供者:小幂控
  1. state_machine

    0下载:
  2. finite state machine for vhdl generic
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:809
    • 提供者:amr tarek
  1. 1.1Generic-Mux-VHDL

    0下载:
  2. generic 2to1多路复用器,用behavior和structure两种方式写的!-generic 2to1 multiplexer with behavior and structure are two ways to write!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:39517
    • 提供者:young
  1. Triangle

    0下载:
  2. vhdl 实现三角波输出,分辨率可调,与比较器连用可以实现PWM输出-VHDL generic Triangle,ENTITY Triangle IS port( rst : in std_logic clk : in std_logic tri_data:out std_logic_vector(7 downto 0) ) end Triangle
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:587
    • 提供者:zpf
  1. vhdl_ram

    0下载:
  2. Fast generic RAM model
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:153600
    • 提供者:sheldon01
« 12 3 »
搜珍网 www.dssz.com