CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL hainan

搜索资源列表

  1. hainan

    0下载:
  2. MAX+PLUS2环境下VHDL彩灯控制器编程 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调-MAX+ PLUS2 programming environment, VHDL lantern controller 1. With 10 LED, L0 ... ... L9 2. Display odd lights turn off before ① ② ③ again
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1100
    • 提供者:吴海霞
搜珍网 www.dssz.com