CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog 点亮LED灯

搜索资源列表

  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. seg7led

    0下载:
  2. 点亮7位LED小灯实验 基于hdl语言 有全部管脚锁定等-seven leds based on verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:104494
    • 提供者:FELICITY
  1. led_out

    0下载:
  2. 用verilog语言,利用可编辑逻辑器件,练习点亮一个led灯-With verilog language, the use of programmable logic devices, a practice led lights lit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:233111
    • 提供者:公秀田
  1. Experiment01

    0下载:
  2. Fpga入门实验一:点亮led灯。verilog语法-Fpga entry Experiment 1: light led lights. verilog syntax
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:500696
    • 提供者:口碑尹
  1. LED-verilog

    0下载:
  2. 利用verilog语言点亮led灯,基于2410开发板-The use of the verilog language lights led lights, based on the 2410 development board
  3. 所属分类:Document

    • 发布日期:2017-11-20
    • 文件大小:56694
    • 提供者:lywshz
  1. led_decoder

    0下载:
  2. 这个是用verilog语言写的一个点亮LED灯的程序,在DE2最小系统板里验证过-This is to use verilog language written by a light LED lights program, in DE2 minimum system board validated
  3. 所属分类:source in ebook

    • 发布日期:2017-11-25
    • 文件大小:150353
    • 提供者:刘浩
  1. liushuideng

    0下载:
  2. verilog HDL程序,功能:点亮LED灯,并实现右移的流水效果,已在FPGA板上验证过。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:313779
    • 提供者:vera
  1. main

    0下载:
  2. 采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的verilog HDL硬件描述语言控制进行控制,然后烧写实现.按键7~1分别用于七个音符的发音(DO,RE,MI,FA,SO,LA,SI),同时LED灯点亮。按键8和9用于控制乐曲的播放,可以选择三个曲子的播放。-Using field-programmable logic device (FPGA) production, the use of EDA software verilog HDL hardware descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2480
    • 提供者:
  1. led

    0下载:
  2. LED呼吸灯硬件编程语言 Verilog 实现占空比变化LED灯缓慢点亮和熄灭的效果-LED Breathe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1221
    • 提供者:zhuqiwei
  1. led_test

    0下载:
  2. 开发板上,用来点亮led灯并且通过按键使灯的亮灭变化的Verilog源码-Development board for lit by led lights and buttons to change the bright lights Verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:823
    • 提供者:李伟
  1. led_display

    0下载:
  2. Verilog HDL 点亮LED灯,程序简单,适合新手练习上手,简单易懂。-Verilog HDL lit LED lights, simple procedures, suitable for beginners to practice to use, easy to understand.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1182
    • 提供者:刘子易
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. LED

    0下载:
  2. 流水灯实例,8个LED循环点亮,实现流水灯效果(Water lamp example, 8 LED cycle lights, to achieve the effect of water lamp)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-23
    • 文件大小:184320
    • 提供者:航天梦
  1. Verilog led

    0下载:
  2. Xilinx ISE开发平台实现4位的led灯循环点亮源代码,测试文件及约束(4 bit LED lamp cycle lighting)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:29696
    • 提供者:韩么韩
  1. LED

    0下载:
  2. 利用verilog语言,在FPGA开发版上点亮LED灯(Using verilog language, LED lights on the FPGA development version)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:182272
    • 提供者:wz2016520157
  1. at7_ex01

    0下载:
  2. 8个LED执行流水灯。流水灯依次循环点亮。基于vivado平台编写的Verilog代码(The 8 LED executes the flow light. The flow light is turned on and out in turn. Verilog code based on vivado platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:524288
    • 提供者:24fh
  1. task1-2

    0下载:
  2. 通过程序点亮LED灯,程序控制简单,还可以一次做成流水灯(LED lights can be lit by programs, and the program control is simple, and the water lamp can be made at a time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:2857984
    • 提供者:小丶谷
  1. led

    0下载:
  2. 流水灯实验,实现四位流水灯功能 本次的设计主要是一个简单流水灯驱动程序,要求流水灯模式 如下:(1)复位时,灯全部熄灭。(2)复位按键放开时,首先点亮 第一个灯,然后第一个 灯熄灭,同时点亮第二个灯;接着,第二个 灯熄灭,同时点亮第三个灯;再然后,第三个灯熄灭,同时点亮第四 个灯;最后,第四个灯熄灭,同时点亮第一个灯;如此循环往复,实 现流水。(Running water lamp experiment to realize the function of four bit flow
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:2577408
    • 提供者:小猪仔521
搜珍网 www.dssz.com