CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog 4X4键盘

搜索资源列表

  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. key

    0下载:
  2. 使用verilog实现的4x4的键盘,但是把延时程序去掉了,可以给大家参考
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:200096
    • 提供者:wphyl
  1. keyscan

    0下载:
  2. verilog语言 4X4键盘扫描 适合于FPGA、verilog语言的初学者 功能模块分块有条理,清晰。帮助初学者掌握FPGA的分层设计-verilog language; 4X4 keyboard scan for FPGA, verilog language modules for beginners ;block structured and clear. Help beginners master the hierarchical FPGA design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4033
    • 提供者:huangjiaju
  1. test_42(NEW)

    0下载:
  2. verilog矩阵键盘扫描程序,编写简单易懂,4X4矩阵键盘读取,比通常的编写更加简单-verilog matrix keyboard scanner, writing easy to understand, 4X4 matrix keyboard to read, write more than the usual simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:324626
    • 提供者:
  1. xiaodou

    0下载:
  2. 主要用于4x4键盘输入消抖,消抖作为键盘操作的主要考虑因素,因此在Verilog消抖具有重要的作用。-Used 4x4 keyboard debounce, debounced keyboard operation as a major consideration, so shaking in Verilog consumer has an important role.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:731
    • 提供者:changlingku
  1. Matrix_Keyboard

    0下载:
  2. Verilog编写的4x4矩阵键盘扫描代码,可用QurtursII直接打开工程。具体实现的功能为按下按键,数码管可相应显示0、1、...E、F-Verilog prepared 4x4 matrix keyboard scan code and it s directly available in QurtursII . The concrete realization of the function: key is pressed, the digital tube to the corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:258572
    • 提供者:wicoboy
  1. Verilog_juzhenjianpan

    0下载:
  2. 采用Verilog编写的4x4矩阵键盘的程序。该程序经过验证可行。-Implementation of 4x4 matrix keyboard Verilog language. After verification, the feasible.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:42272
    • 提供者:wyf
  1. 4x4 Keypad

    0下载:
  2. 用Verilog实现的4*4键盘扫码程序,在quartus平台实现。(Use Verilog implementation of 4 * 4 keyboard scan code program, realized in quartus platform.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-21
    • 文件大小:243712
    • 提供者:莫五张
搜珍网 www.dssz.com