CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - adc conversion vhdl

搜索资源列表

  1. VHDL_adc0809

    0下载:
  2. 驱动模数转换器ADC0809转换的VHDL代码-Driver Analog to Digital Conversion Connection between ADC 0809 VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109251
    • 提供者:YI
  1. liuVHDL.rar

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,,Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:12167478
    • 提供者:刘广清
  1. ADC0832_test.rar

    0下载:
  2. ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.,ADC0832 is an 8-bit conversion of the ADC chip, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628113
    • 提供者:zhangjiansen
  1. AD7938controllor-VHDL

    0下载:
  2. VHDL语言的有限状态机法控制8位/12位自动转换通道模数转换器AD7938-VHDL, FSM method to control 8-bit/12-bit ADC AD7938 auto-conversion channel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1683
    • 提供者:
  1. CORE8051_ADC_OK_328

    1下载:
  2. 这是一个在Fusion系列的AFS600的FPGA,在里面嵌入51核和12位adc模块,可以在lcd12864上显示,能正常转换电压。做adc使用。-This is a AFS600 at the Fusion series FPGA, embedded in which 51 nuclear and 12-bit adc module, you can show up at lcd12864 to the normal voltage conversion. Does the use of a
  3. 所属分类:Other systems

    • 发布日期:2014-05-17
    • 文件大小:15280431
    • 提供者:冰鸟
  1. TCL2543

    2下载:
  2. 基于FPGA的TLC2543控制器,采用状态进行控制ADC进行转换-The TLC2543 controller based on FPGA, using state control of ADC conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-12
    • 文件大小:287101
    • 提供者:555
  1. adc

    2下载:
  2. vhdl实现对模数转换芯片adc0832的控制,程序采用的是状态编码输出.-VHDL realization of analog-digital conversion chip adc0832 control, procedures using state of the output encoding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-01-22
    • 文件大小:25334
    • 提供者:liaocongliang
  1. liuVHDL

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,-Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:52715
    • 提供者:刘广清
  1. ADC

    0下载:
  2. 对AD0809进行操作,将AD转换后的结果直接对对8个发光二极管进行赋值,程序有详细的注释!-Operation of the AD0809 will be the result of AD conversion directly against eight light-emitting diodes for assignment, procedures detailed notes!
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:343657
    • 提供者:高培
  1. FPGA_Based_Multi-channels_Serial_ADC_controller.ra

    0下载:
  2. 采用FPGA控制ADS7844进行模数转换。ADS7844 是Burr_Brown公司推出的一种高性能、宽电压、低功耗的12 b串行数模转换器。它有8个模拟输入端,可用软件编程为8通道单端输入A/D转换器或4通道差分输入A/D转换器,其转换率高达200 kHz,而线性误差和差分误差最大仅为±1 LSB。-Using FPGA control ADS7844 analog to digital conversion. ADS7844 is a Burr_Brown the company intr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:161251
    • 提供者:Liu Bin
  1. FPGA_ADDA

    0下载:
  2. 基于 Cyclone EP1C6240C8的ADS2807,DAC2902 测试程序。主要用来使用FPGA控制ADC采集和DAC的输出,从而达到高频率信号处理的功能。首先从ADC2807采集数据,然后送给DAC2902输出。 采用FPGA口线模拟ADC2807和DAC2902的时序来实现。 提供ADC采样频率控制、DAC输出频率控制、输出波形控制、ADC通道转换、DAC通道转换等功能。-Based on Cyclone EP1C6240C8 of the ADS2807, DAC2902
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2019752
    • 提供者:icemoon1987
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. Spartan3E_ADC

    0下载:
  2. 专门针对xilinx 的spartan3e开发板上的ADC转化的编程,绝对可用,仿真通过-Xilinx the spartan3e specific development board ADC conversion program, absolutely free, simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3040
    • 提供者:雍振强
  1. adfmreceiver

    0下载:
  2. The design of the All Digital FM Receiver circuit in this project uses Phase Locked Loop (PLL) as the main core. The task of the PLL is to maintain coherence between the input (modulated) signal frequency,iωand the respective output frequency,oωvia p
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:658029
    • 提供者:vijay
  1. ADC_TLC549

    0下载:
  2. 实现ADC转换的VHDL代码,利用计数器分频产生1MHz的频率,在此频率下,读取八位的AD数据并存储供处理使用,根据实际需要转换成模拟电平。-ADC conversion of the VHDL code, the use of counter divider to generate a 1MHz frequency, frequency, read eight of the AD data and stored for processing, according to the actual n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1009
    • 提供者:苏小白
  1. adc

    0下载:
  2. vhdl code for analog to digital conversion
  3. 所属分类:Modem program

    • 发布日期:2017-12-02
    • 文件大小:1879
    • 提供者:nancy
  1. AD7895

    4下载:
  2. 读取AD7895 的12位ADC转换值,连续读取方式,采样速率为20mS 一次。(Read the 12 bit ADC conversion value of AD7895.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2021-04-13
    • 文件大小:1024
    • 提供者:LisaArden
搜珍网 www.dssz.com