CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - add floating point

搜索资源列表

  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. calculator

    0下载:
  2. 基于51单片机和液晶1602的简易计算器,可进行加减乘除浮点型运算和清除、即时显示等功能-51 single-chip microcomputer and LCD based on the 1602 simple calculator, can add, subtract, multiply and divide floating point operations and clear, type instantly shows etc. Function
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:2119
    • 提供者:zhuhanghua
  1. I2C(EEPROM)

    1下载:
  2. 该驱动程序库包含了I2C总线基本时序以及AT24C系列EEPROM的读写函数。 还包含了常用数据格式变量的保存、读取函数。除了字节读写之外,还可以很方便的向EEPROM里写入和读出整型、浮点数、长整型格式的数据。 要使用该库函数,需要将本文件(AT24C02.c)添加进工程,并在需要调用函数的文件开头处包含"AT24C02.h" 演示程序向AT24C02存储器内部保存1字节、整型数据、长整型数据和 浮点型数据,再将他们依次读出,结果用超级终端显示。如果
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2013-11-26
    • 文件大小:4494
    • 提供者:马海峰
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. CNewList

    0下载:
  2. 管理双向链表的一个类,支持整形,浮点型,字符型,字符串等,能够很方便的增加自定义类型,能动态增加,删除任何位置的元素-Management of a class of two-way linked list to support the plastic, floating-point type, character, string and so on, can easily customize the type of increase that can dynamically add, dele
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-06
    • 文件大小:301003
    • 提供者:xuyangjie
  1. FLOATPOINT

    0下载:
  2. 浮点程序库,这里是AVR程序,包括浮点数比大小,加,减,乘,除,开方。-Floating-point library, here is the AVR procedures, including floating-point than the size, add, subtract, multiply, divide, prescr iption.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3732
    • 提供者:HuangMingShan
  1. Surfel_FILE_COMPRESSION

    0下载:
  2. 针对点云数据局部集中的特点,使用差值预测对点云数据进行预测处理 在预测的同时,根据IEEE2754 浮点数标准,简化浮点数的尾数,使用3. 5 Byte来表示一个浮点数,以提高压缩效果 然后对预测数据中连续重 复的字节使用该字节加该字节重复的次数的方式存储 最后对经过以上处理的数据使用一阶自适应算术编码进 行压缩。最终得到的程序在压缩比和内存占用两个方面远优于WinRAR、WinZip压缩软件-Point cloud data for the characteristics of l
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:337923
    • 提供者:周晨
  1. top_pnadd32

    0下载:
  2. 32位元浮点数加法器,用于以VHDL编写的32位元CPU-32 bits floating-point Add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2289
    • 提供者:朋友
  1. CsmallUniversalDatabase

    0下载:
  2. C语言的小型通用数据库:模拟交互式的SOL的基本功能:动态建表,组合查询,删除,添加,更新,支持的数据类型为:char(字符型) int(整数型) double(浮点型) string(字符串型)-Small general-purpose C language database: an interactive simulation of the basic functions of the SOL: Dynamic built form, portfolio information, dele
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:361776
    • 提供者:魏静
  1. f

    0下载:
  2. This documents describes a free single precision floating point unit. This floating point unit can perform add, subtract, multiply, divide, integer to floating point and floating point to integer conversion.-This documents describes a free sing
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:74177
    • 提供者:k
  1. JSQ

    0下载:
  2. 计算器是Windows操作系统提供的一个附件功能,许多人用Visual Basic、Visual C++等编制计算器,由于这些程序提供了控件,所以实现相对容易。Turbo C没有控件,但我们可以利用它所具有的函数模仿画出其界面,实现计算器的基本功能,进行浮点数加、减、乘、除、乘方和求模运算。-Calculator is a Windows operating system provides an attachment function, and many people use Visual Ba
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:3375
    • 提供者:sishengxu
  1. 1

    0下载:
  2. 1.编写一个简单的程序,输出“Welcome you”,并给程序加一行注释“First C++ program”。 2.编写一个完整的包含输入和输出的简单C++程序。 3.编写内置函数求解2X2+4X+5的值,X为整数,并用主函数调用该函数。 4.利用函数重载,重载上面的函数,X为浮点数。 5.编写一个程序,对一个整数数组求和,求和的结果使用全局变量sum存储,同时对整数中的奇数求和,结果使用局部变量sum存储,在主程序将两个结果输出。 6.编写一个程序动态分配一个浮点空间,
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:46121
    • 提供者:bobo
  1. calc

    0下载:
  2. 小型计算器代码.设计一个小型计算器可进行加、减、乘、除、乘方、开方、括号运算。该计算器不是根据输入运算符的先后而从头算到尾而没有进行运算符的优先级的判定,而是先判断运算符的优先级的高低然后依次进行计算的。上述的几种运算,可以在一位或多位的浮点数间进行运行并且可以准确的计算出结果。 -Small calculator code. Design a mini-calculator can be add, subtract, multiply, divide, involution, administ
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:37023
    • 提供者:284
  1. Calculator

    0下载:
  2. 多功能计算软件程序清单 功能要求:具备整型数据、浮点型数据的算术(加、减、乘、除)运算功能。 依次输入运算符(+,-,*,/)、第一个运算数、第二个运算数,然后输出结果, 当用户输入 Q 则退出系统,否则允许用户连续进行运算。-Multi-function calculation software program list functional requirements: with integer data, floating-point data arithmetic (ad
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:36132
    • 提供者:kk
  1. float_add

    0下载:
  2. 该程序是用Verilog语言写的,可以完成(1,5,9)格式的浮点数相加。-The program is written in Verilog, you can complete the (1,5,9) add floating-point format.
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1788934
    • 提供者:陈晓
  1. CourseDesign

    0下载:
  2. 用Verilog实现一位原码浮点数乘法器,按照累加的方式,逐位相乘,再相加。-Verilog realization of an original code with floating point multiplier, in accordance with the cumulative way, bit by bit multiply, then add.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:246267
    • 提供者:李伟彬
  1. AltiVec-technology-based-on-the-floating-point-mul

    0下载:
  2. 基于AltiVec技术的浮点乘加单元的设计AltiVec technology based on the floating-point multiply-add unit design-AltiVec technology based on the floating-point multiply-add unit design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:277647
    • 提供者:xin2006
  1. add

    0下载:
  2. 浮点加法器的用Verilog实现,32位的浮点加法器-Floating point adder Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1654639
    • 提供者:王轩
  1. Handbook-of-Floating-Point-Arithmetic---Birkhause

    0下载:
  2. Floating-point arithmetic (2008), ADD, SUB, MUL, SQRT, FUNCTION (IEEE 754-1985 Standard, IEEE 854-1987 Standard, New IEEE 754-2008 Standard)-Floating-point arithmetic (2008), ADD, SUB, MUL, SQRT, FUNCTION (IEEE 754-1985 Standard, IEEE 854-1987 Stand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5574848
    • 提供者:ricvadim
  1. add

    0下载:
  2. 串口计算器,可以实现加、减、乘、除、括号、sin()、cos()等基础运算,支持浮点数和整数,以LCD显示,有报警功能。-Serial calculator can add, subtract, multiply, divide, brackets, sin (), cos () and other basic operations, support for floating point and integer, with LCD display, alarm function.
  3. 所属分类:Com Port

    • 发布日期:2017-04-26
    • 文件大小:85726
    • 提供者:李思扬
« 12 3 »
搜珍网 www.dssz.com