CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - algorithm verilog code

搜索资源列表

  1. jpeg压缩中的DCT蝶型算法verilog代码

    2下载:
  2. jpeg压缩中的DCT蝶型算法verilog代码-jpeg DCT compression algorithm verilog code BUTTERFLY
  3. 所属分类:压缩解压

    • 发布日期:2014-01-14
    • 文件大小:4867
    • 提供者:wuguanying
  1. BCD2BIN8.rar

    0下载:
  2. BCD转BIN算法,BCD码转二进制数据。,BCD to BIN algorithm, BCD code to binary data.
  3. 所属分类:Algorithm

    • 发布日期:2017-03-27
    • 文件大小:530
    • 提供者:zjh
  1. cordicDDS

    0下载:
  2. Cordic算法实现DDS的Verilog 源码,14位精度,非常实用的。-DDS algorithm Cordic the Verilog source code, 14-bit accuracy, very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7592
    • 提供者: 王王
  1. fir_lms

    0下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1334
    • 提供者:onion
  1. speech

    0下载:
  2. 用verilog HDL实现自相关算法! RTL级可综合代码! 通过modelsim5.6仿真和quartusii7.1综合!-Verilog HDL using auto-correlation algorithm to achieve! RTL-level code can be integrated! Through simulation and modelsim5.6 integrated quartusii7.1!
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-29
    • 文件大小:3363
    • 提供者:ji
  1. fft

    2下载:
  2. fft代码,采用蝶形算法,包括C,matlab和verilog代码-fft code, using butterfly algorithm, including C, matlab and Verilog code
  3. 所属分类:matlab例程

    • 发布日期:2013-11-13
    • 文件大小:47843
    • 提供者:
  1. IFFT-RTL

    0下载:
  2. 本人自己写的可实现512点或64点IFFT算法的verilog硬件代码-the verilog code for IFFT algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:279701
    • 提供者:李慧
  1. aescore

    1下载:
  2. 基于FPGA的AES算法实现的VERILOG源代码,对于信息安全专业研究AES算法的硬件实现很有用-FPGA-based AES algorithm implementation VERILOG source code, for the information security professional research of the hardware implementation of AES algorithm is useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:195647
    • 提供者:李华
  1. md5_latest[1][1].tar

    0下载:
  2. MD5算法verilog代码,很不错的,可以互相交流学习-MD5 algorithm verilog code, and a very good
  3. 所属分类:CA program

    • 发布日期:2016-07-06
    • 文件大小:15243
    • 提供者:朱坤旺
  1. division_cordic

    0下载:
  2. verilog code for division based on cordic algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:701
    • 提供者:meysam
  1. comunication

    0下载:
  2. 无线通信中的常见通信算法处理verilog代码,对于通信硬件实现研究非常有用!-Wireless communications in the algorithm to deal with common communications verilog code for hardware implementation of communication research is very useful!
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:209710
    • 提供者:李忠孝
  1. lms

    2下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-11
    • 文件大小:1304
    • 提供者:onion
  1. modu

    0下载:
  2. this the verilog code that performs the modulus function ... most importantly it is synthesisable... uses the repeated sub algorithm-this is the verilog code that performs the modulus function ... most importantly it is synthesisable... uses the repe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:415938
    • 提供者:mma32
  1. systemcaes_latest.tar

    0下载:
  2. 高级加密标准aes加密算法用fpga实现的Verilog源代码。-Advanced encryption standard aes encryption algorithm using fpga implementation Verilog source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:84295
    • 提供者:lxc
  1. topic

    0下载:
  2. DES加密算法的VHDL和VERILOG源程序- Xilinx开源共享61EDA代码工厂-DES encryption algorithm of VHDL and VERILOG source code- Xilinx factory open source code sharing 61EDA
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:274592
    • 提供者:renkaiqiang
  1. MPEG4Codec

    0下载:
  2. Mpeg4图象编解码算法原程序,基于VC。-Mpeg4 decoding algorithm for the original process image, based on VC.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:71499
    • 提供者:x
  1. CORDIC_design_digital_computers

    1下载:
  2. CORDIC算法设计的数字计算机,基于Verilog设计-CORDIC algorithm based on the design of digital computer, Verilog design code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1383
    • 提供者:李山
  1. factorial

    0下载:
  2. verilog code for factorial algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:20730
    • 提供者:swapna
  1. fir_lms

    0下载:
  2. finite impulse response LMS algorithm verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:37272
    • 提供者:zcos123
  1. Verilog-code-for-multiplier

    0下载:
  2. VERILOG CODE FOR 16 BIT MULTIPLIER USING MODIFIED BOOTH ALGORITHM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:9485
    • 提供者:gsp
« 12 3 4 5 6 »
搜珍网 www.dssz.com