CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - altera

搜索资源列表

  1. led_zfsj

    0下载:
  2. 现场可编程门阵列( FPGA) 是一种可编程逻辑器件, 它具有丰富的I/O 口及内部资源, 编程和修改极为方便, 并且易于扩展和维护, 简化电子电路的设计。本系统采用Altera 公司的FLEX10K作为核心器件, 结合VHDL程序, 实现了对LED 点阵显示字符的控制。-Field programmable gate array (FPGA) is a programmable logic device, which has a wealth of I/O port and internal
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:32812
    • 提供者:x
  1. wp_wimax

    0下载:
  2. WiMAX, or the IEEE 802.16 standard for broadband wireless access, is increasingly gaining in popularity as a technology with significant market potential. This paper first provides an overview of the existing and developing 802.16 standards and t
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:473089
    • 提供者:greg
  1. PIO

    0下载:
  2. 上篇是对ALTERA公司SOPC中的PIO核进行了详尽的介绍,包括寄存器、添加方法及常用的操作。-Part is the ALTERA' s SOPC in the PIO nuclear carried out a detailed introduction, including the register, adding methods and commonly used operations.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:116171
    • 提供者:wang hao
  1. bemicro_lab_ver

    0下载:
  2. be micron sram file downloaded from altera be-micro
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:857
    • 提供者:praveen
  1. ALTERA_PCI

    0下载:
  2. 关于ALTERA的PCI问答集Q1 What is PCI? What are the typical applications of a PCI bus? Q2 Who governs the PCI Specification? Q3 What level of participation does Altera have in developing PCI standards? Q4 What does the designer need to know about PCI t
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:9705
    • 提供者:bise
  1. F

    0下载:
  2. ALTERA器件选型手册,通过这个文档,你可以了解在一个项目开发中,你怎末选择芯片-ALTERA device selection manuals, through this document, you can understand the development of a project, you select chip Zenmo
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:706633
    • 提供者:洪依
  1. Image_Convert_English

    0下载:
  2. control panel used in altera FPGA
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:45221
    • 提供者:karim
  1. KLAWIATURA_4x4_ZL9_VER2

    0下载:
  2. project is a simple keyboard 4x4 in VHDL in QUARTUS II from altera.com
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:344032
    • 提供者:tomi
  1. schem_stratixii_dsp_s180

    0下载:
  2. Altera官方基于EP2S180 FPGA的信号处理实验板,可以进行一些非常复杂的数字信号处理实验。-Altera s official EP2S180 FPGA-based signal processing experiment board, you can do some very complex digital signal processing experiments.
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:524702
    • 提供者:石勤坡
  1. CPLD_KEYBOARD

    0下载:
  2. 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:67450
    • 提供者:jalon
  1. ARROW_crack

    0下载:
  2. 进行FPGA开发的仿真正软件,是altera公司提供的最新开发工具。-this is simulition of altera company
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:105137
    • 提供者:宋国华
  1. AsynchronousSynchronousCircuitDesign

    0下载:
  2. ALTERA 公司的培训资料,针对同步系统和异步系统设计的异同。-ALTERA company' s training materials, for the synchronous system and asynchronous system design similarities and differences.
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:273161
    • 提供者:wy
  1. DesignofCombinationalCircuit

    0下载:
  2. ALTERA内部培训资料:关于组合逻辑电路设计的要点-ALTERA internal training materials: combinational logic circuit on the main points
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:318539
    • 提供者:wy
  1. MultipleClockSystemDesign

    0下载:
  2. ALTERA内部培训资料:多时钟电路设计的要点-ALTERA internal training materials: multi-clock circuit design elements
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:244589
    • 提供者:wy
  1. SpeedExample

    0下载:
  2. ALTERA内部培训资料:高速系统设计例程-ALTERA internal training materials: high-speed system design routines
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:356471
    • 提供者:wy
  1. alterafkex

    0下载:
  2. fpga design altera flex notes
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:335205
    • 提供者:atul
  1. realhighimage

    0下载:
  2. altera公司有关高清视频的文档,很有价值-altera company documents relating to high-definition video, great value
  3. 所属分类:Document

    • 发布日期:2017-05-08
    • 文件大小:1740065
    • 提供者:Yangkaiyong
  1. xapp460

    0下载:
  2. altera公司很有价值的技术文档,讲述了nios开发的一些技术问题-altera valuable technical documentation company, describes the development of some technical problems nios
  3. 所属分类:Document

    • 发布日期:2017-05-04
    • 文件大小:1475317
    • 提供者:Yangkaiyong
  1. Mini_Proj3

    0下载:
  2. Embedded 16 bit adder designed and implemented on Altera FPGA DE1 board using SOPC system builder and tested with NIO2 software. Language:Verilog and C
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:202941
    • 提供者:binh
  1. complex_fir

    1下载:
  2. 复杂FIR滤波器设计,来自Altera公司,6.0版本-Complex FIR filter design from Altera Corporation, version 6.0
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:28059
    • 提供者:
« 1 2 3 4 5 6 78 9 10 11 12 13 »
搜珍网 www.dssz.com