CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 文件格式 搜索资源 - altera

搜索资源列表

  1. DDSdevelop

    0下载:
  2. 此为使用DDS直接数字频率合成器之设计报告,作者相当的详细介绍DDS之原理以及使用Altera之FPGA做设计,供使用者参考.-This is the use of DDS Direct Digital Synthesizer Design report, the authors considerable detail on the principle of DDS and the use of Altera
  3. 所属分类:File Formats

    • 发布日期:2017-04-08
    • 文件大小:677540
    • 提供者:JERRY
  1. altera

    0下载:
  2. something i got you may find this useful
  3. 所属分类:File Formats

    • 发布日期:2017-04-28
    • 文件大小:72622
    • 提供者:mallu
  1. modelsim

    0下载:
  2. modelsim 中文教程 用于FPGA的仿真,主要是altera的FPGA用的。-modelsim simulation English tutorial for the FPGA is mainly altera FPGA-use.
  3. 所属分类:File Formats

    • 发布日期:2017-03-21
    • 文件大小:505554
    • 提供者:汤化锋
  1. Image_Convert_English

    0下载:
  2. control panel used in altera FPGA
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:45221
    • 提供者:karim
  1. Altera-device-PCI-constraint-file

    0下载:
  2. Altera的器件PCI约束文件大全,希望能对有需要的同行有帮助-Altera device PCI constraint file
  3. 所属分类:File Formats

    • 发布日期:2017-03-23
    • 文件大小:391937
    • 提供者:李洲
  1. DE2_scheamtics

    0下载:
  2. altera 公司的FPGA 二代板的原理图-DE2_scheamtics from altera company
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:363279
    • 提供者:王郑帼
  1. 基于FPGA直接序列扩频系统的设计

    1下载:
  2. 针对一般无线通信系统抗干扰、抗噪声以及抗多径性能力差的缺点,提出了一种基于FPGA 的直接序列 扩频系统设计。该设计采用63 位的pn 码作为扩频调制的码序列,在发送端,对信息码进行扩频调制; 在接收端,对 收到的扩频调制信号进行解扩,增强了系统的抗干扰性和可靠性。同时在Altera 公司的Quartus II 软件中,使用硬件描 述语言VHDL 和原理图相结合的方法进行了电路的设计实现。通过把电路下载到Altera 公司的CycloneIII 的 EP3C10E144C8N 芯片中调试
  3. 所属分类:文件格式

    • 发布日期:2013-02-18
    • 文件大小:468566
    • 提供者:ymlhhb
  1. tutorial_niosII

    0下载:
  2. tutorial about nios II software processor of altera
  3. 所属分类:File Formats

    • 发布日期:2017-12-10
    • 文件大小:19508
    • 提供者:minou
  1. 15-04-0218-01-004a-ieee802-15-4-mac-overview

    0下载:
  2. THE IMPLENTATION OF THE MAC PROTOCOL USING THE FPGA ALTERA 3
  3. 所属分类:File Formats

    • 发布日期:2017-12-06
    • 文件大小:240640
    • 提供者:TUSHAR
  1. osh

    0下载:
  2. altera cyclone4 脚本文件-tcl scr ipt
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:1539
    • 提供者:shangyufeng
  1. ug_ram_rom

    0下载:
  2. This user guide describes the Altera megafunction IP cores that implement the following memory modes: ■ RAM:1-Port—Single-port RAM ■ RAM:2-Port—Dual-port RAM ■ ROM:1-Port—Single-port ROM ■ ROM:2-Port—Dual-port ROM Altera provides two IP c
  3. 所属分类:File Formats

    • 发布日期:2017-05-02
    • 文件大小:593930
    • 提供者:nacer1606
  1. qts_qii52002

    0下载:
  2. FPGA design software that easily integrates into your design flow saves time and improves productivity. The Altera® Quartus® II software provides you with a command-line executable for each step of the FPGA design flow to make the design
  3. 所属分类:File Formats

    • 发布日期:2017-04-26
    • 文件大小:393159
    • 提供者:nacer1606
  1. AES-FPGA

    0下载:
  2. 本文介绍了AES加密算法通过不同的功能结构的FPGA实现,语言背景为VHDL-This paper details Implementation of the Encryption algorithm AES under VHDL language In FPGA by using different architecture of mixcolumn. We then review this research investigates the AES algorithm in FPGA
  3. 所属分类:File Formats

    • 发布日期:2017-04-24
    • 文件大小:191983
    • 提供者:Eric
  1. SOPC-Nios2-and-LCD-interface

    0下载:
  2. Altera SOPC Builder提供了Nios Ⅱ处理器及一些常用外设接口,但并没有提供 12864液晶模块的接口及驱动。利用SOPC Builder中元件编辑器Create New Component, 通过自定义逻辑的方法在SOPC设计中添加自己开发的液晶显示模块IP核,并集成到系统, 实现了嵌入式NiosⅡ软核处理器与液晶显示模块的接口设计,并编写了驱动程序。可以和 系统自带的接口组件一样,开发者利用该开发组件,不必了解液晶屏原理就可以使用标准C 函数操作组件进行
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:212040
    • 提供者:刘伟
  1. Altera-FIFO

    0下载:
  2. 介绍了Altera的FPGA的FIFO的功能与介绍-Introduction of Altera' s FPGA capabilities with the introduction of the FIFO
  3. 所属分类:File Formats

    • 发布日期:2017-05-03
    • 文件大小:701250
    • 提供者:王兵兵
搜珍网 www.dssz.com