CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - asynchronous fifo in verilog

搜索资源列表

  1. c22_FIFO.rar

    0下载:
  2. 精通verilog HDL语言编程源码之8——异步FIFO设计,Proficient in language programming verilog HDL source of 8- Asynchronous FIFO Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2621
    • 提供者:李平
  1. yibu_FIFO_design

    0下载:
  2. 异步FIFO实例,精通verilog hdl中的例子,供大家学习-Asynchronous FIFO instance, in the example verilog hdl proficiency for all learning
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:2357
    • 提供者:
  1. sdh

    1下载:
  2. SDH是现代光纤通信中广泛应用的数据传输格式,在SDH帧结构中,前9列为开销字节,它包含了很多重要的信息,本程序为SDH开销的接收处理,查找帧头,分频,勤务话字节E1异步fifo。可拆为三段源代码,不知道能不能抵三个程序-SDH is a modern optical fiber communication is widely used in data transmission format, in the SDH frame structure, as the former 9 overhea
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:6826
    • 提供者:韩冰
  1. aFifo

    0下载:
  2. This an implementation of an Asynchronous FIFO written in Verilog 2001.-This is an implementation of an Asynchronous FIFO written in Verilog 2001.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1680
    • 提供者:balloo
  1. asynfifo

    0下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过调试,需要的下载-Asynchronous fifo, to prepare to use Verilog, including testbench, debugging has been passed, the need to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:25264
    • 提供者:iechshy1985
  1. afifo

    0下载:
  2. verilog编写的异步FIFO代码,功能仿真时是正确的。-verilog code written in asynchronous FIFO, functional simulation is the right time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1164
    • 提供者:查乐
  1. SC16C752B

    0下载:
  2. The SC16C752B is a dual Universal Asynchronous Receiver/Transmitter (UART) with 64-byte FIFOs, automatic hardware/software flow control, and data rates up to 5 Mbit/s (3.3 V and 5 V). The SC16C752B offers enhanced features. It has a Transmission
  3. 所属分类:OS Develop

    • 发布日期:2017-03-26
    • 文件大小:160494
    • 提供者:刘伟
  1. sdfsdFifo

    0下载:
  2. 这是一个异步fifo的Verilog 代码,该代码的功能是实现异步的first in first out-This is an asynchronous fifo in the Verilog code, the code' s function is to achieve asynchronous first in first out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1265
    • 提供者:Yongjie
  1. FIFO-verilog

    0下载:
  2. 本实验完成的是8位异步FIFO的设计,其中写时钟100MHz,读时钟为5MHz,其中RAM的深度为256。当写时钟脉冲上升沿到来时,判断写信号是有效,则写一个八位数据到RAM中;当读时钟脉冲上升沿到来时,判断读信号是有效,则从RAM中把一个八位数据读出来。当RAM中数据写满时产生一个满标志,不能再往RAM再写数据;当RAM中数据读空时产生一个空标志,不能再从RAM读出数据。-In this study, completed the 8-bit asynchronous FIFO design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:334206
    • 提供者:肖波
  1. FIFO

    0下载:
  2. 异步FIFO Verilog源代码,对控制读写地址进行设计,以便写满和读空只产生一个标志,实现对FIFO的缓冲控制-Asynchronous FIFO Verilog source code, designed to control read and write addresses in order to fill and read empty produce only one flag, the FIFO buffer control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3033
    • 提供者:zx
  1. asynchronous-FIFO-verilog

    0下载:
  2. FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单-FIFO is an abbreviation of the English First In First Out, is a first-in, first-out data buffer, the difference between him and ordinary memory is external read and write add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:14636
    • 提供者:chenkun
  1. FIFOverilog

    0下载:
  2. 异步FIFO实现数据先入先出的存储方式基于verilog HDL语言-Asynchronous FIFO first-in, first-out data storage based on Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:11186
    • 提供者:章鱼
  1. fifo2

    0下载:
  2. 异步fifo 先进先出 用于缓冲数据,用verilog HDL所写,在quartus II中测试通过,modelsim仿真-Asynchronous fifo FIFO for buffering data, using verilog HDL written in quartus II test through, modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3863
    • 提供者:T~T
  1. syn_fifo_style_1

    0下载:
  2. verilog实现的,异步FIFO。所有代码在一个模块中。-verilog achieve, asynchronous FIFO. All code in a module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1015
    • 提供者:刘禹韬
  1. async_fifo_prj

    0下载:
  2. Verilog语言写的异步fifo,包含随机数产生电路和testbentch,直接可用于实际工程中。代码为华为内部代码。相信你会喜欢。-Verilog language used to write asynchronous fifo, including random number generation circuit and testbentch, can be used directly in the actual project. Code for Huawei internal code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27829302
    • 提供者:allcot
  1. FIFO1

    0下载:
  2. 给出一个位宽16比特,深度为10的异步FIFO的设计,并要求给出空或满的指示信号。要求用Verilog HDL语言设计,并编写测试激励,以及用Modelsim进行功能仿真,验证设计正确性。10个16位的数据 (FIFO的宽度:也就是英文资料里常看到的THE  WIDTH,它指的是FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM 32位等等。FIFO的深度:THE DEEPTH,它指的是FIFO可以存储多少个N位的数据(如果宽度为N)。如一个8位的FIFO,若深度为8,它可以
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:33310
    • 提供者:江燕子
搜珍网 www.dssz.com