CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bcd

搜索资源列表

  1. huibian

    0下载:
  2. 寻址方式在结构化数据访问中的应用,(1)掌握不同的内存寻址方式(2)使用结构化的数据存储方式(3)掌握BCD码的编码方式-Addressing modes in structured data access, (1) to master different memory addressing mode (2) using structured data storage (3) The master of BCD encoding
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-14
    • 文件大小:4379
    • 提供者:up73131shouhe
  1. bcd_add_1bit

    0下载:
  2. 二进制码到BCD码的转换 1位BCD加法器 2位BCD加法器-BCD code to binary code conversion of a BCD adder two BCD adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:228711
    • 提供者:maomaoyu
  1. huibian

    0下载:
  2. 寻址方式在结构化数据访问中的应用,(1)掌握不同的内存寻址方式(2)使用结构化的数据存储方式(3)掌握BCD码的编码方式-Addressing modes in structured data access, (1) to master different memory addressing mode (2) using structured data storage (3) The master of BCD encoding
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-14
    • 文件大小:4191
    • 提供者:yyobouy
  1. BCD

    0下载:
  2. decimal to binary conversion
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:4648
    • 提供者:sarwar
  1. display

    0下载:
  2. vivado 7-BCD 数字显示代码。可显示4位十进制数字。输入二进制位数可自行修改。-vivado 7-BCD Digital display code。It can display four decimal digits. Enter the number of bits to modify.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1591
    • 提供者:汪汉森
  1. BCDtoHEX

    0下载:
  2. 义隆的BCDtoHEX初学者的技术资料,好好珍息,好运随你!-ELAN BCD TO HEX PROGRAM
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:862
    • 提供者:新海楠
  1. 7-BCD

    0下载:
  2. 7段数码管控制接口程序和对初始频率为50MHZ的时钟的分频程序-7-segment control interface program and the initial frequency of 50MHZ clock divider program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:814
    • 提供者:李康康
  1. 23

    0下载:
  2. 16进制bcd转换程序 通过手工编写c源代码进行了程序相关功能的实现和调试-with the common of sixteen to bcd conversion which
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:561
    • 提供者:邹大拿
  1. 0-99

    0下载:
  2. contador bcd 0-99 pic16f877a en ASM
  3. 所属分类:assembly language

    • 发布日期:2017-05-04
    • 文件大小:43055
    • 提供者:brucewayne
  1. OM-FinsTCP

    6下载:
  2. 根据欧姆龙的FINS TCP协议编写的DLL和源代码,支持欧姆龙全系列的PLC,支持浮点、双整型、BCD码等数据类型;-According to Omron FINS TCP protocol prepared by the DLL and source code, support the full range of Omron PLC, support for floating-point, double integer, BCD code and other data types
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-06
    • 文件大小:975690
    • 提供者:effect
  1. Fibonacci

    0下载:
  2. (1) clkdiv 模块:对50MHz 系统时钟 进行分频,分别得到190Hz,3Hz 信号。190Hz 信号用于动态扫描模块位选信号,3Hz 信号用于fib 模块。 (2) fib 模块:依据实验原理所述Fibonacci 数列原理,用VHDL 语言实现数列 (3) binbcd14:实现二进制码到BCD 码的转换,用于数码管显示。 (4) x7segbc:采用动态扫描,使用4 位数码管依次显示Fibonacci 数列数据。 实验采用3Hz 频率来产生Fibonacci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:667644
    • 提供者:panda
  1. count

    0下载:
  2. 本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。 SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。 该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:475404
    • 提供者:panda
  1. BCD-Counter

    0下载:
  2. Verilog Module for parity
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:24721
    • 提供者:Raz
  1. 02-BCD-Conv

    0下载:
  2. This assemlber source codes with proteus simulation which convert binary number to binary coded decimal format
  3. 所属分类:Compiler program

    • 发布日期:2017-05-05
    • 文件大小:9551
    • 提供者:alisher
  1. PWM

    0下载:
  2. //电机PWM控制 入口条件:待转换的双字节十六进制整数在R6、R7中。 出口信息:转换后的三字节BCD码整数在R3、R4、R5中。-// PWM motor control inlet conditions: to be converted in the two-byte hexadecimal integer R6, R7 in. Export: BCD code converted three-byte integer in R3, R4, R5 in.
  3. 所属分类:SCM

    • 发布日期:2017-12-12
    • 文件大小:1855
    • 提供者:lsxu
  1. Bin2BCD

    0下载:
  2. FPGA代码,使用Verilog HDL语言实现4 bit二进制转换成BCD代码。原理是移位加三。-FPGA code, using Verilog HDL language is converted into a binary 4 bit BCD code. The principle is Shift-Add-3 .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:5763236
    • 提供者:Wind
  1. BIN_BCD_41

    0下载:
  2. 17-bit binary number to BCD code (the basic idea is every ten into 1
  3. 所属分类:software engineering

    • 发布日期:2017-12-12
    • 文件大小:603
    • 提供者:dai
  1. 微机原理实验代码参考

    0下载:
  2. 一、对程序进行改错 多字节非压缩型BCD数除法 (96875/5=19375) 二、十进制转化为二进制 编制程序,把十进制数15786转化成二进制数(One:For a correction of program Multi byte non compression type BCD number division (96875/5=19375) Two:Decimal into binary To program a decimal number 15786 into binar
  3. 所属分类:汇编语言

    • 发布日期:2017-12-23
    • 文件大小:155648
    • 提供者:寒修末
  1. BCDadder

    0下载:
  2. cource code for BCD adder in verilog language
  3. 所属分类:书籍源码

    • 发布日期:2017-12-22
    • 文件大小:8192
    • 提供者:zebl
  1. HEX2BCD

    0下载:
  2. 十六进制转BCD,包含设计文件和仿真文件,工程文件(Sixteen decimal to BCD, including design documents and simulation files, engineering documents)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:3072
    • 提供者:lqx
« 1 2 ... 41 42 43 44 45 4647 48 »
搜珍网 www.dssz.com