CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ccit

搜索资源列表

  1. crc_verilog_xilinx

    0下载:
  2. 这是一个在FPGA上实现CRC算法的程序,包含了CRC-8,CRC-12,CRC-16,CRC-CCIT,CRC-32一共五种校验形式。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10591
    • 提供者:李奥运
  1. crc.zip CRC校验程序

    2下载:
  2. CRC校验程序,使用了CRC-16和CRC-CCITT方法 ,CRC inspection program, which use crc-16 and crc-ccitt method
  3. 所属分类:加密解密

    • 发布日期:2016-01-25
    • 文件大小:1311
    • 提供者:
  1. crc

    0下载:
  2. CRC code for CCIT 16 CRC
  3. 所属分类:Voice Compress

    • 发布日期:2017-03-29
    • 文件大小:3183
    • 提供者:ahsanhijazi
  1. crc_verilog_xilinx

    0下载:
  2. 各类CRC效验码 有CRC8-8 CRC16-8 CRC32-8 CRC12-4 CRC-CCIT-8-CONTAIN CRC8-8 CRC16-8 CRC32-8 CRC12-4 CRC-CCIT-8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:6325
    • 提供者:吴伟珍
  1. Perl_for_CRC

    0下载:
  2. Cyclic Redundancy Check (CRC) is an error-checking code that is widely used in data communication systems and other serial data transmission systems. CRC is based on polynomial manipulations using modulo arithmetic. Some of the common Cyclic Redu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:89718
    • 提供者:尤恺元
  1. CRC_Check_sv2

    0下载:
  2. 运用matlab实现CRC编码。输入任意二进制数据,输出相应CRC编码。可选择CRC-12,CRC-16,CRC-CCIT 或者自己输入多项式系数。-CRC coding using matlab realize. Arbitrary binary data input, the output corresponding CRC encoding. Optional CRC-12, CRC-16, CRC-CCIT or enter your own polynomial coefficient
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:7305
    • 提供者:刘蛋蛋
  1. CRC

    0下载:
  2. CRC校验参考设计Verilog代码,crc8,16,32bit- crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CCIT, 8-bit data input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:10344
    • 提供者:guangngqiang
  1. CRC_CCIT_Sim

    0下载:
  2. RS232的发送带CRC-CCIT校验,适合CRC初学者-CRC-CCIT_Sim for Rs232 Tx, for new student of CRC by FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5918
    • 提供者:小付
  1. crc_verilog_xilinx

    0下载:
  2. 包括下面文档: readme.txt : This file crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CCIT, 8-bit data input. cr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:10240
    • 提供者:chris_lj
搜珍网 www.dssz.com