CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clk divider

搜索资源列表

  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. clk-div

    0下载:
  2. VHDL code for a clock divider by 27 circuit with a resulting waveform with 50% duty cycle..
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3035
    • 提供者:李军
  1. FPQ.rar

    0下载:
  2. 分频器的vhdl描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频,Divider vhdl descr iption of the source code at the completion of the CLK clock signal frequency of 2 hours, 4 minutes frequency, frequency of 8 hours, 16 minutes frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1070
    • 提供者:LS
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: frequency output that: D [7 .. 0] as
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:603
    • 提供者:张娟
  1. frequency

    0下载:
  2. frequency divider circuit divides the input frequency (clk) by various factors
  3. 所属分类:source in ebook

    • 发布日期:2017-03-27
    • 文件大小:599
    • 提供者:sad
  1. 07070608-2.2

    0下载:
  2. 利用VHDL语言设计一个分频器,输入为CLK,输出分别为CLK1、CLK8、CLK256、 CLK1024-The use of VHDL language design a divider, input CLK, the output respectively, CLK1, CLK8, CLK256, CLK1024
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:38185
    • 提供者:
  1. 9600divider

    0下载:
  2. 任意分频器,可以实现FPGA的CLK分频功能,已通过编译-Arbitrary frequency divider can be achieved FPGA-CLK sub-band capabilities, has passed the compilation
  3. 所属分类:Com Port

    • 发布日期:2017-04-24
    • 文件大小:195765
    • 提供者:liujieyu
  1. clk_divider

    0下载:
  2. Simple Clk Divider for FPGA design in Verilog -Simple Clk Divider for FPGA design in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:684
    • 提供者:h_j_tel
  1. clk

    0下载:
  2. 二分之一分频器及其测试程序,是用modelsim仿真实现-One half of the divider and the test procedure is used modelsim Simulation
  3. 所属分类:MPI

    • 发布日期:2017-04-08
    • 文件大小:567
    • 提供者:张依
  1. The-use-of-VHDL-divider-design

    0下载:
  2. 分频器的各种设计方法, 及源代码,源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。-The use of VHDL divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4740
    • 提供者:王子冉
  1. clk-fixed-factor

    0下载:
  2. basic fixed multiplier and divider clock that cannot gate.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1307
    • 提供者:jdfzws
  1. clk-imx6q

    0下载:
  2. The multiplexer and divider of imx6q clock gpu3d_shader get redefined reused as gpu2d_core_sel and gpu2d_core_podf on imx6dl. -The multiplexer and divider of imx6q clock gpu3d_shader get redefined reused as gpu2d_core_sel and gpu2d_core_podf on im
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:6487
    • 提供者:terneng998
  1. clk-ls1x

    0下载:
  2. imx integer fixup divider clock for Linux v2.13.6.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:2381
    • 提供者:ginkengvv
  1. clk-divider

    0下载:
  2. Frontend part of the Linux driver for the Afatech 9005 USB1.1 DVB-T receiver.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-29
    • 文件大小:9082
    • 提供者:kinyaivw
  1. clk-corediv

    0下载:
  2. MVEBU Core divider clock.CORE_CLK_DIV_RATIO_MASK.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:2371
    • 提供者:boubthi
  1. clk-frac

    0下载:
  2. The clock is an adjustable fractional divider with a busy bit to wait when the divider is adjusted.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1220
    • 提供者:nanvieba
  1. clk-divider

    0下载:
  2. linux sound pxa2xx-ac97.c AC97 support for the Intel PXA2xx chip. -linux sound pxa2xx-ac97.c AC97 support for the Intel PXA2xx chip.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3706
    • 提供者:wieksding
  1. clk

    0下载:
  2. This fixups the register CCM_CSCMR1 write value. The write read divider values of the aclk_podf field of that register have the relationship described by the following table:.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1839
    • 提供者:xdpangsj
  1. clk-divide5

    0下载:
  2. 实现5分频计数的veriog电路,简单易懂,欢迎大家下载学习-Achieve 5 divider count veriog circuit, easy to understand, welcome to download the study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:607
    • 提供者:李佳旭
  1. vhdl_time

    0下载:
  2. it is a clk divider
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:3072
    • 提供者:immu1
« 12 »
搜珍网 www.dssz.com