CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clk verilog

搜索资源列表

  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. median

    0下载:
  2. 中值滤波的实现,该代码使用的是verilog 语言 module median(clk,reset,load,din,mult,dout,over,a3,b3,c3,a2,b2,c2,a1,b1,c1)-Median filter implementation, the code using verilog language module median (clk, reset, load, din, mult, dout, over, a3, b3, c3, a2, b2, c2, a1,
  3. 所属分类:Project Design

    • 发布日期:2015-07-05
    • 文件大小:2305
    • 提供者:刘文英
  1. clk_divider

    0下载:
  2. Simple Clk Divider for FPGA design in Verilog -Simple Clk Divider for FPGA design in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:684
    • 提供者:h_j_tel
  1. clk_div

    0下载:
  2. 分频计数器verilog源代码,包括实验说明文档,清晰易懂.-this code can easily be understood and teaches you how to divide the clock.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:200134
    • 提供者:颜爱良
  1. verilog

    0下载:
  2. 设计可以对两个运动员赛跑计时的秒表:(1)只有时钟(clk)和一个按键(key),每按一次,key是持续一个时钟周期的高电平脉冲 (2)秒表输出用0-59的整数表示 (3)key: (A)按一下key,开始计数; (B)第一个运动员到终点时第二下key,记住时间,继续计数; (C)二个运动员到时按第三下key,停止计数; (D)然后按第四下key,秒表输出第一个运动员到终点的时间,即按第二下key时记住的计数值; (E)按第五下key,秒表清0。 -Design
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:gab
  1. sim_uart

    0下载:
  2. uart 收发器 verilog 代码,实现自收发功能 sys clk = 25m, baud 9600 停止位1, 无校验位; 代码实现了串口自收发功能,及把从 PC 收到的内容都发送会 PC, 其他波特率,自行修改代码即可,在 alter 的FPGA 上调试通过; -verilog code uart transceiver to achieve self-transceiver function sys clk = 25m, baud 9600 1 stop bit, no par
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2434
    • 提供者:周西东
  1. sdram

    0下载:
  2. 通过 UART 读写 SDRAM verilog 源代码 通过 UART 的接口发送命令来读写 SDRAM 命令格式如下: 00 02 0011 1111 2222 00: 写数据 02: 写个数 0011: 写地址 1111 2222: 写数据, 是 16 bit, 每写完一个数据,向串口发送 FF 回应; 输出: FF FF 01 03 0044 01: 读sdram 03: 读的个数 0044: 读的地址 输出: xxxx xx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:14332
    • 提供者:周西东
  1. clk

    0下载:
  2. Verilog HDL clk 带延迟的时钟,对于处理时钟同步问题有益-Verilog HDL clk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:9304
    • 提供者:
  1. design-a-clk-system-by-verilogHDL

    0下载:
  2. 利用verilog语言描述的具有调时、定时、闹钟、报时等功能的时钟系统-Verilog language to describe the use of a tune, time, alarm clock, timer and other functions of the clock system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2051
    • 提供者:张方圆
  1. clk

    1下载:
  2. 通过Verilog HDL实现多功能数字时钟 开发基于FPGA DE0-Verilog HDL Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:15538
    • 提供者:左帅
  1. CLK

    0下载:
  2. QuartusII平台verilog语言实现的CLK下降沿测试-CLK falling edge QuartusII platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:2844
    • 提供者:FantasyDR
  1. clk

    0下载:
  2. 基于EP2C5Q208C的二分频verilog代码,modelsim仿真及下载配置-Verilog code, modelsim simulation and download configuration based on EP2C5Q208C binary frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:334720
    • 提供者:zuozuo
  1. clk-10divide

    0下载:
  2. 十分频,用verilog语言编写的程序,使用与verilog学习。-The very frequency, the Verilog language program, the use of learning verilog.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-28
    • 文件大小:824
    • 提供者:任卫朋
  1. fnd-clk

    0下载:
  2. FND, SEGment verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1594
    • 提供者:TaeKiHong
  1. clk-10divide

    0下载:
  2. 基于verilog编写的十分频时钟,简单易懂,欢迎大家下载和学习-Based on the frequency counter verilog prepared very easy to understand, are welcome to download and learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:565
    • 提供者:李佳旭
  1. baud_control

    0下载:
  2. uart串口波特率控制,和uart——top uart——rxd_contrl 等随模块联合使用-uart baud clk Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:657
    • 提供者:王长友
  1. FIFO_RAM

    0下载:
  2. 同步FIFO_RAM的设计及其testbench(8 bit SYN FIFO module fifo_v(clk,rst,wen,ren,full,empty,data,q);)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:3072
    • 提供者:炜仔mjw
  1. 8_1

    0下载:
  2. 一个具有置位、复位、左移和右移功能的八位移位寄存器/“01011010”序列检测器。移位寄存器电路端口为:异步清零输入端口rst,输入时钟clk,置数判断输入端口load,移位类型判断输入端口m,数据输入端口data[7:0],输出端口q[7:0]。序列检测器电路端口为:异步清零输入端口rst,输入时钟clk,串行数据输入端口d,输出标志端口s。(A eight bit shift register / 01011010 sequence detector with set, reset, le
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:94208
    • 提供者:白学
  1. clkdiv

    0下载:
  2. 该模块是一个常用的clk分频器;其内部参数可以动态调整!(This module is a common CLK frequency divider; its internal parameters can be dynamically adjusted!)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:944128
    • 提供者:wybingo27
  1. spi_master

    0下载:
  2. 用verilog编写的SPI代码,这个代码是FPGA作为主机可以发送和读取数据,上板验证过,我测试的时候SPI的CLK速率是5M,读写都没问题,稳,至于更高的速率没测试过。 下面鬼畜的百度翻译大家就不要看了,我不知道他想表达啥意思~(SPI code written in Verilog, the code is FPGA as the host can send and read data, the upper board verified, when I test the SPI CL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:你到底是谁
« 12 3 »
搜珍网 www.dssz.com