CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock code verilog hdl

搜索资源列表

  1. ca_gen

    0下载:
  2. 此Verilog程序产生用于GPS卫星导航信号的C/A码,输入信号有时钟、时钟使能、复位、给定的卫星编号,输出产生的C/A码。此程序在代码上进行优化,占用了更少的资源。-This procedure generated Verilog for the GPS satellite navigation signals C/A code, the input signal with the clock, clock enable, reset, given the satellite number,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:797
    • 提供者:李殿为
  1. clock

    0下载:
  2. 多功能数字钟Verilog HDL的源码,能够整点报时,报整点数,设定任意时刻闹钟,低音高音两种频率。-Multi-function digital clock Verilog HDL source code, set the alarm clock at any time, bass treble two frequencies. It s for FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:984150
    • 提供者:Stone Lei
  1. clock

    0下载:
  2. verilog hdl代码 实现显示在数码管上显示时间,日期-verilog hdl code to achieve control in the digital display shows time, date. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1772
    • 提供者:Along
  1. my_clock

    0下载:
  2. 使用verilog HDL语言编写的时钟电路代码,能实现24小时电子钟的功能。-Using verilog HDL code written in the clock circuit can achieve 24-hour clock function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:257892
    • 提供者:周朝
  1. miaobiao

    0下载:
  2. 秒表实验verilog代码,我已经调试好。希望供大家学习使用。-clock using counter code of verilog HDL.I debug it right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:400373
    • 提供者:xiaowang
  1. digital-clock-

    0下载:
  2. 本代码采用verilog HDL语言编写。实现的是数字跑表计时功能-The code using verilog HDL language. Implementation is a digital stopwatch timer functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:161705
    • 提供者:西蟀
  1. 5B6B-codec

    2下载:
  2. verilog hdl实现5B6B编译码(光纤通信线路码型),包含了时钟发生器模块 ,信号源模块 ,编码模块 ,译码模块, 和检错模块,并通过modesim仿真验证。-verilog hdl achieve 5B6B encoding and decoding (code-based fiber-optic communication lines), contains a clock generator module, signal source modules, code modules, d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4580
    • 提供者:林海全
  1. CRC16_8

    0下载:
  2. 利用ISE软件采用Verilog HDL语言编写CRC码,每时钟处理8bit数据,在输入序列后最终加上16位校验码。-Using Verilog HDL language CRC code, 8bit data processing per clock, after the final of the input sequence plus 16 checksum.
  3. 所属分类:Other systems

    • 发布日期:2014-12-06
    • 文件大小:3329024
    • 提供者:刘璐
  1. shuzishizhong

    0下载:
  2. 这是基于verilog hdl的数字时钟源代码,能够实现时分秒的计时,可以手动进行调时与调分。-This is based on the digital clock verilog hdl source code, can be achieved when every minute of the time, you can adjust the time manually adjusting points.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:373300
    • 提供者:朱枫
  1. partii_fsm_SequenceUsingCase

    0下载:
  2. verilog hdl code fsm sequence detector using case ,, an FSM that recognizes two specific sequences of applied input symbols, namely four consecutive 1s or four consecutive 0s. There is an input w and an output z. Whenever w = 1 or w = 0 for fou
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:753913
    • 提供者:shimaa
  1. multifunction_digita

    0下载:
  2. 基于FPGA的多功能数字钟的设计与实现 内附有详尽的Verilog HDL源码,其功能主要有:时间设置,时间显示,跑表,分频,日期设置,日期显示等-There FPGA-based design and implementation of multi-functional digital clock containing detailed Verilog HDL source code and its function are: time setting, time display, stopw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3293357
    • 提供者:荼皞
  1. 8位数字显示的简易频率计

    1下载:
  2. (1)能够测试10HZ~10MHZ的方波信号; (2)电路输入的基准时钟为1HZ,要求测量值以8421BCD码形式输出; (3)系统有复位键; (4)采用分层次分模块的方法,用Verilog HDL进行设计,并对各个模块写出测试代码; (5)具体参照说明文档(包含源代码,仿真图,测试波形,详细的设计说明)(A square wave signal capable of testing 10HZ~10MHZ; (2) the reference clock input by the ci
  3. 所属分类:VHDL/FPGA/Verilog

  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
搜珍网 www.dssz.com