CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - code VHDL 8051

搜索资源列表

  1. mc8051V1.4

    0下载:
  2. 8051硬核源码(VHDL),具有全部VHDL代码、测试环境以及说明文档、综合脚本等完整的开发、验证环境,源代码通过ASIC投片,并得到不断完善-8,051 hard-core source code (VHDL), with all VHDL code, testing and documentation, environment, Comprehensive integrity of the scr ipt, such as development, certification, the s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:530650
    • 提供者:钟方
  1. 8051_nios_vhdl

    0下载:
  2. 8051 MCU在nois平台上的实现代码(VHDL),出自Altera公司,经过严格测试核验证-nois 8051 MCU platform in the realization of code (VHDL) from Altera Corporation, after strict verification of nuclear test
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:102253
    • 提供者:钟方
  1. 8051-vhdl-code

    1下载:
  2. 单片机8051 IP内核的VHDL源码,需要的开发环境QUARTUS II 6.0。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98736
    • 提供者:周华茂
  1. 8051的内核(vhdl)

    0下载:
  2. 最完整最实用的8051的软核,用VHDL语言编写全部原代码,并有详细的注释介绍,对开发增强型多功能单片机或RSIC单片机内核和单片机SOC应用非常有参考价值-most complete most practical of the 8051 soft-core, with all the preparation VHDL source code, and the Notes for a detailed briefing on the development of an enhanced mult
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213510
    • 提供者:czy
  1. Original-8051 Vhdl Model

    0下载:
  2. 這是Originl公司出的8051 VHDL source code.-It s a 8051 VHDL source code issued by Original.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:225275
    • 提供者:ㄚ福
  1. 8051单片机源码verilog版本

    1下载:
  2. 8051单片机源码verilog版本 包括rtl, testbench, synthesis ,Verilog source code version of 8051, including rtl, testbench, synthesis
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-25
    • 文件大小:509633
    • 提供者:carol
  1. XPS_EMC.rar

    0下载:
  2. Xilinx EDK中SOC使用外部存储器接口(EMC)的方法,并用ISP1581举例说明了如何与时分复用总线(8051单片机总线)设备进行连接,有Verilog源代码。,Xilinx EDK in SOC using external memory interface (EMC) methods, and examples of how ISP1581 with the TDM bus (8051 bus) devices to connect, there Verilog source co
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:59340
    • 提供者:YongZhiLi
  1. 8051_source_2.8a

    0下载:
  2. 8051内核的hdl代码,实际上是verilog格式不过上载页面只有一个vhdl选择,值得一读, 里面对仿真和验证的说明很有含金量-the hdl code of 8051 core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:38961
    • 提供者:mars
  1. 原代码

    0下载:
  2. 8051核的vhdl原代码。-8051 core VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:97849
    • 提供者:艾霞
  1. 8051_ip_core

    0下载:
  2. 8051微控制器的ip 核的vhdl源代码,其中包含了相应的测试程序.-8051 micro-controller ip nuclear vhdl source code, which contains the corresponding test procedures.
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:340323
    • 提供者:大为
  1. P8051

    0下载:
  2. This a FREE tool chain which compiles C codes into 8051 binary code, converts the binary to RTL ROM, and simulate in Modelsim. SDCC is the compiler. Example compilation: cd compile sdcc --iram-size 0x80 --xram-size 0x800 t8051.c RE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8101153
    • 提供者:zhao xin ke
  1. vhdl

    0下载:
  2. 着个是一个8051的完整源代码,用VHDL书写。需要的可以看看,很有好处-8051 a month is a complete source code, written using VHDL. Needs can see, it is beneficial to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:98031
    • 提供者:myliu
  1. C8051_mega_core.ZIP

    1下载:
  2. 8051 mega core porocesssor vhdl source code
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:753567
    • 提供者:ARS
  1. m8051.tar

    0下载:
  2. another 8051 core porocesssor vhdl source code
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1537832
    • 提供者:ARS
  1. Original-8051VhdlModel

    0下载:
  2. this a file about vhdl source code realtinf 8051 through 8051-this is a file about vhdl source code realtinf 8051 through 8051
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:224962
    • 提供者:nirmit
  1. i8051

    0下载:
  2. vhdl code for 8051 microcontroller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:20715
    • 提供者:Muftah
  1. vhdl

    0下载:
  2. A vhdl implementation of 8051 micro controller. this code is from oregano.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:99898
    • 提供者:baba
  1. uc_interface

    0下载:
  2. This file provides an 8051 external data memory bus interface for CoolRunner CPLDs. This file contains the state machine to interface on the 8051 bus as well as the address registers, the address decode logic, and example control registers,
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:4117
    • 提供者:alex
  1. 051vhdl

    0下载:
  2. cpu8051 vhdl-8051 open source code.....................................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:213510
    • 提供者:huang
  1. Core8051

    0下载:
  2. 8051 IPCORE vhdl code-8051 ipcore VHDL CODE
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-27
    • 文件大小:10529263
    • 提供者:郭强
« 12 »
搜珍网 www.dssz.com