CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - control temperature vhdl

搜索资源列表

  1. shuiwenkongzhi.rar

    0下载:
  2. 基于at89s52和ds18b20的水温控制系统源程序,AT89S52 and DS18B20 based temperature control system source code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:10024
    • 提供者:刘峥
  1. tec_control_pgfa.rar

    1下载:
  2. 使用fpga基于积分分离的pid算法进行温控的程序,经实验证明很稳定,Fpga points based on the use of separate pid process temperature control algorithm, the experiment proved to be stable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3339
    • 提供者:shengtao
  1. temperature

    0下载:
  2. 使用FPGA控制18B20达到温度采集过程,并显示在数码管上。-Achieved using the FPGA control 18B20 temperature acquisition process and display the digital pipe.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1778
    • 提供者:彭杨
  1. VHDL_wendukongzhi

    0下载:
  2. 基本空调温度控制-Temperature control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1486616
    • 提供者:ljh
  1. ktkzxt

    0下载:
  2. 利用有限状态机描述的空调控制系统,温度状态有过高、过低、正好三种状态,控制方式有升温和制冷两种;设计了温度传感装置-The use of finite state machine described in the air-conditioning control systems, temperature conditions are too high, too low, just three states, the control methods are two kinds of heating
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162932
    • 提供者:
  1. VHDL_fire_alarm_detection

    0下载:
  2. vhdl source code of fire detection system/fire alarm system especially for high rise building? This among the requirement :- according to my "fire detection system for tall building" project by using Spartan 3E FPGA, the vhdl program need
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:624
    • 提供者:subin
  1. DS18B20

    0下载:
  2. 基于vhdl的温度控制器(选用ds18b20)-The temperature controller based on vhdl (optional ds18b20)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:898375
    • 提供者:何思
  1. DS18B20

    1下载:
  2. 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:578560
    • 提供者:张衡星
  1. Temperature_acquisition

    0下载:
  2. 用VHDL语言在FPGA上实现了8路温度数据的采样功能。控制THS1408芯片进行AD转换,采样后存入对应的8组寄存器,并产生使能信号通知其他模块进行8路数据的统一采样。-8-channel temperature data sampling function is implemented on FPGA using VHDL language. Control THS1408 chip AD converter, and sampled into the corresponding set o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2252
    • 提供者:张明
  1. Solar-Heater

    0下载:
  2. 数字系统课程设计,基于VHDL的太阳能热水器智能控制系统,AD转换及接口部分需根据实际情况进行调整,代码内有标注。 功能: 可以即时获取水箱里的温度和水位; 可以通过控制系统,智能控制水箱里水的加热,以及保温; 当水箱水位很低时,可以智能加水,保证白天水箱的安全。 指标: 控制系统采用数码管以及二极管为显示界面。 其中数码管用于显示当前水箱温度、预设温度以及设置中的操作界面; 2个黄色二极管和8个绿色二极管用于显示当前水位以及加水状态;
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:406108
    • 提供者:Wang
  1. FPGA_DS18B20

    0下载:
  2. 利用FPGA,vhdl语言设计,控制DS18B20芯片温度检测。-FPGA, vhdl language design, control DS18B20 chip temperature detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:746689
    • 提供者:卢宇生
  1. wenduchuanganqi

    0下载:
  2. 利用VHDL编写的实现温度控制,可以根据温度变化将结果显示在数码管上。-Use VHDL to write the implementation of temperature control, can according to the temperature change will be showed on the digital pipe.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1346533
    • 提供者:刘文鹏
  1. DS18B20

    0下载:
  2. VHDL语言写的DS1802温度传感器控制程序,可运行-VHDL language used to write the DS1802 temperature sensor control program can be run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:733832
    • 提供者:冯科磊
  1. DS18B20_1

    0下载:
  2. 数字温度传感器DS18B20控制程序VHDL语言,FPGA和CPLD都测试过可以执行-Digital temperature sensor DS18B20 control procedures VHDL language, FPGA and CPLD are tested to perform
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:437017
    • 提供者:高超
  1. Microwave-controller--FPGA

    0下载:
  2. 本文介绍了基于FPGA的微波炉控制器系统的设计与实现过程。系统采用采用先进的EDA 技术,利用VHDL 设计语言,设计一种新型的微波炉控制器。该控制器具有系统复位、状态控制、时间设定、火力档位选择、烹饪计时、温度控制、显示译码和音效提示等功能,加之采用独特的软件算法,较为理性的满足了题目的各个要求。-Microwave-based FPGA-based controller design This article describes the design and implementation o
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:426035
    • 提供者:王小雨
  1. EE59

    0下载:
  2. A new algorithm is presented to control an MPPT (Maximum Power Point Tracker) through an FPGA (Field Programmable Gate Array). The photovoltaic module was assumed to be connected to a generic load by means of a DC/DC converter controlled through
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:301804
    • 提供者:iman
  1. ds1820

    0下载:
  2. 基于FPGA的温度控制系统 VHDL 数码管显示温度 ds1820 温度报警-The temperature control system based on FPGA VHDL digital display temperature ds1820 temperature alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1926
    • 提供者:笑点低
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-12
    • 文件大小:18954
    • 提供者:wang
搜珍网 www.dssz.com