CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpld

搜索资源列表

  1. EX22_CPLD_QQ2812

    0下载:
  2. 2812学习板 cpld 源代码,2812学习板的译码部分-2812 board cpld learning source
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-29
    • 文件大小:321098
    • 提供者:charles
  1. uartverilog

    0下载:
  2. 实现cpld和pc机之间的串口通信,PC机传送到CPLD的信息,CPLD传回到PC机-Via verilog language ,cpld can communcate with pc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:4513
    • 提供者:朱特
  1. VGA

    0下载:
  2. cpld实现vga驱动的程序,用verilog语言实现。-Via verilog language,it can complete the drive of vga module.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:233152
    • 提供者:朱特
  1. cpldfpga

    0下载:
  2. 《CPLDFPGA嵌入式应用开发技术白金手册》源代码,涉及FPGA/CPLD的各个方面,键盘扫描,LED扫描等简单程序及滤波器等的设计-" CPLDFPGA platinum embedded application development technology handbook" source code, related to FPGA/CPLD all aspects of the keyboard scanning, LED scanning filters, such
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:283467
    • 提供者:付鋆
  1. A01

    0下载:
  2. 利用XC9572-TQFP100(Xilinx CPLD)制作的多功能CPLD/FPGA的ISP下载线源代码及线路图。可用来烧录Xilinx,Lattice,Altera等厂家的CPLD/FPGA.-Using XC9572-TQFP100 (Xilinx CPLD) produced by multi-CPLD/FPGA download cable ISP in the source code and circuit diagram. Burning can be used to Xilin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3234991
    • 提供者:李德明
  1. guard_against_theft

    0下载:
  2. 利用XC9572-PQ44(Xilinx CPLD)制作的一款家用防盗报警器的Verilog源代码及原理图,当房门打开后,15秒内若没有按下Key1,则会自动拨打设定手机号(当然,要另连接一台手机)-Using XC9572-PQ44 (Xilinx CPLD) produced by a home burglar alarm of the Verilog source code and the schematic diagram, when the door opened, within 15
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:918975
    • 提供者:李德明
  1. VHDL100Examples

    0下载:
  2. CPLD中常见模块设计资料,100个精典的例子-CPLD module design information in common, 100 examples of classical
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:237189
    • 提供者:kevin
  1. plx9054-localbus-cpld-vhdl-src

    0下载:
  2. PLX 公司 PLX9054 pci target controller local bus interface vhdl programe-PLX inc. PLX9054 pci target controller local bus interface vhdl programe
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:973
    • 提供者:richardz
  1. CPLD_UART

    0下载:
  2. 基于FPGA CPLD设计与实现UART,一听名字就知道,不用再说了吧,-FPGA CPLD-based Design and Implementation of UART, a name, we know that you do not say any more,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:1174
    • 提供者:何力
  1. CPLD

    0下载:
  2. 复杂可编程逻辑器件的初步介绍,通过一系列的简单例子,帮助读者熟悉开发环境和开发语言。-CPLD initial introduction, through a series of simple examples to help beginners master the basic development process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6963220
    • 提供者:林丽娟
  1. 2fsk

    0下载:
  2. 基于CPLD的数字通信系统2fsk 用VHDL形成2FSK信号-CPLD-based digital communications system 2fsk signal 2FSK formed with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2622
    • 提供者:石一鸣
  1. 2fsk-2psk

    0下载:
  2. 基于CPLD的数字通信系统 2fsk-2psk 用VHDL产生 2fsk-2psk信号-CPLD-based digital communications system 2fsk-2psk generated by VHDL signals 2fsk-2psk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3118
    • 提供者:石一鸣
  1. te2457

    0下载:
  2. 基于C语言和单片机的数字移相设计 C语言程序设计,相位检测,滤波移位 CPLD和51单片机-sagagryhryray ssuutrutruitrwui fyguiriririri
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:137678
    • 提供者:丁威
  1. Introduction_to_CPLD_and_FPGA_Design

    0下载:
  2. CPLD和FPGA的使用方法有详细的介绍!!!大家快来下载吧-The use of CPLD and FPGA methods in detail! ! ! Come everyone to download it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:622869
    • 提供者:叶建勋
  1. FPGA

    1下载:
  2. 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序-FPGA-based design of digital frequency meter 11, the use of VHDL hardware descr iption language design, and EDA (electronic design automation) tools with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:665994
    • 提供者:董晨晨
  1. CPLD_USB

    0下载:
  2. :CPLD 可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特 点。介绍基于ATMEL 公司的CPLD 芯片ATF1508AS 设计的串并转换和高速 USB 及其在高速高精度数据采集系统中的应用-: CPLD programmable technology with a high degree of functional integration, design flexibility, short development cycle, and low cost. ATMEL-b
  3. 所属分类:USB develop

    • 发布日期:2017-03-30
    • 文件大小:185958
    • 提供者:简单
  1. CPLD

    0下载:
  2. 风力发电设备用CPLD外围控制程序。包括故障锁存,IO口输出输入,地址线译码等。-Wind power generation equipment control procedures external CPLD. Including the fault latch, IO I O, address decoding and other lines.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:74156
    • 提供者:吕佃顺
  1. usbusermanual_altera

    0下载:
  2. RAM implementation cpld
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:130411
    • 提供者:NguyenViet
  1. CPLD_Xilinx

    0下载:
  2. Xilinx公司的CPLD芯片选型指南 CPLD芯片选型指南-Xilinx CPLD chip Selection Guide
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-10
    • 文件大小:2181644
    • 提供者:liShengyi
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD based on the VHDL language di
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:95274
    • 提供者:wuhuisong
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com