CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld ad

搜索资源列表

  1. monixinhaojiance

    0下载:
  2. 用DA转换+比较器的方法对外界模拟信号进行检测,同时这种联合装置加上CPLD可以代替低频AD转换器的功能-+ DA converters used for the comparison to the outside world analogue signal detection, at the same time with the Joint CPLD device can replace low-frequency AD converter functions
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:1918
    • 提供者:赵天
  1. mnxhjc

    0下载:
  2. 本实验用DA转换+比较器的方法对外界模拟信号进行检测,同时这种联合装置加上CPLD可以代替低频AD转换器的功能。-this experiment + DA conversion method of comparison to the outside world analog signal detection, while such joint CPLD devices can be replaced with low-frequency AD converter functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9434
    • 提供者:cheng
  1. 2812sch

    0下载:
  2. 2812开发板原理图和使用说明,板上有AD,MIC,CPLD,以太网,按键,usb
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:3030171
    • 提供者:林仲逸
  1. AD_CONTROL

    0下载:
  2. 通过使用CPLD来控制AD转换,实现实时采集数据
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1795
    • 提供者:张坤
  1. a2d2

    1下载:
  2. ad取样,经由cpld处理,存入ram 1000点并由串行的da进行还原
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:180893
    • 提供者:大星
  1. keilcads850

    0下载:
  2. cpld语言编制的16位ad转换器ads850的驱动
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1152
    • 提供者:Satellite
  1. CpldVhdl

    0下载:
  2. 用VHDL语言写的程序包含如下功能:1.键盘扫描2.控制AD转换3.产生PWM信号与51系列CPU接口,接在51地址数据总线上,单片机通过访问地址总线上的数据寄存器来控制CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:455438
    • 提供者:liubaogui
  1. MSP430F2274-C.rar

    1下载:
  2. 系统以MSP430F2274单片机为核心,通过C语言编程,实现从AD和CPLD读取数据,从而实现模拟量和频率量的检测;同时实现对片外看门狗x5045的读写操作。,MSP430F2274 MCU system to the core, through the C language programming, from the AD and the CPLD to read data in order to achieve analog quantity and frequency of detect
  3. 所属分类:SCM

    • 发布日期:2016-05-20
    • 文件大小:22916
    • 提供者:唐荣
  1. slave_spi_ctrl.rar

    0下载:
  2. SPI 的FPGA控制源代码,用于一般通用的SPI技术,FPGA/CPLD控制的AD数据采集,SPI control course code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:602
    • 提供者:luxiaogang
  1. CPLD

    0下载:
  2. ad采集的小模块,实现串口转并口的功能,串口是SPI的接口-ad collector modoudle ad ad ad ad ad da da da da shuzi moni moni shuzi caiji caiji caiji caiji caiji caiji caiji
  3. 所属分类:Applications

    • 发布日期:2017-04-04
    • 文件大小:33266
    • 提供者:ninglige
  1. EPM7256

    0下载:
  2. CPLD EPM7256原理图PCB图,已经校验,没有什么问题,制版既可。-CPLD EPM7256 Schematic diagram PCB have been checking, there was no problem with either plate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:49149
    • 提供者:马爽
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. ad_conv

    0下载:
  2. 利用CPLD来控制AD进行电压采样,并将采样值输出-CPLD to control the use of AD to voltage sampling, and sampling the value of output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:688
    • 提供者:
  1. 01171699

    0下载:
  2. 51单片机+CPLD结构,小板上集成了发光二极管,蜂鸣器,数码管,红外接收头,继电器,实时时钟,按键,AD(TLC1549),DA(TLC5615),232串口,LCD1602接口,LCD12864接口,单片机和CPLD引脚扩展接口,集成5V稳压电源,USB电源接口等功能。 -hhhhhhhhhhhhhhhhhhhhhhhhhhhhh
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:194372
    • 提供者:胡俊
  1. AD

    0下载:
  2. 2407A 内置 16 通道10 位AD 转换器,在 00IC2407+CPLD 实验板上只扩展两通道,分 别是第0 通道和第8通道,DSP 能承受的A/D 输入信号是0-3.3V,在00IC2407+CPLD 实 验板上没有单独采用基准源,直接使用系统的3.3V作为A/D 转换器的基准信号。 -Built-2407A 16-channel 10-bit AD converter, in 00IC2407+ CPLD experiment board extended only two
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:79500
    • 提供者:lizhenli
  1. ad

    0下载:
  2. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:513
    • 提供者:cumtstone
  1. CPLD

    0下载:
  2. 基于CPLD的高速AD采样的VHDL程序-Based on CPLD high-speed AD sampling VHDL program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:1176
    • 提供者:刘美霞
  1. CPLD

    0下载:
  2. CPLD + CAN总线改造,采用CPLD 进行编程,实现移相编码和电机功率输出, CAN总线进行数据通讯,使各节点独立工作又集中管理,实现集散控制。节点电机调速方案中,微控制器选用8位高性能微转换器ADμC812,逻辑与伺服控制采用全数字化方式,晶闸管主电路触发器选用ALTERA公司的 EPM7256S CPLD来完成。-CPLD+ CAN bus transformation, using CPLD for programming, coding and phase motor power
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:67969
    • 提供者:李飞
  1. CPLD

    0下载:
  2. 基于CPLD的高速AD采样的VHDL程序-Based on CPLD high-speed AD sampling VHDL program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1221
    • 提供者:swhole
  1. CPLD

    0下载:
  2. 基于CPLD的高速AD采样的VHDL程序-Based on CPLD high-speed AD sampling VHDL program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1261
    • 提供者:nsionlo
« 12 »
搜珍网 www.dssz.com