CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu 指令

搜索资源列表

  1. Verilog的CPU实现

    7下载:
  2. 用Verilog编写的CPU,附带指令集与实验报告
  3. 所属分类:源码下载

    • 发布日期:2011-05-21
    • 文件大小:363974
    • 提供者:surfing52
  1. 8bit Cpu designing

    0下载:
  2. CPU具有的功能:能完成一些简单的指令 MOV AX,ADDRESS4 --将address4中的内容赋给AX寄存器(在8086/8088汇编语言中称这种寻址方式为直接寻址方式) ADD AX,ADDRESS4 -- 将address4中的内容加到AX寄存器中 SUB AX,ADDRESS4 -- 用address4中的内容减去AX寄存器中的内容 OUT -- 输出AX寄存器中的内容 HLT
  3. 所属分类:VHDL编程

  1. CPU

    1下载:
  2. verilog编写CPU: 1. 哈佛存储器结构,大端格式; 2. 类MIPS精简指令集,支持子程序调用和软中断; 3. 实现了乘除法; 4. 五级流水线,工作频率可达80MHz(每个时钟周期一条指令,不计流水线冲突)。 -MIPS like CPU using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-19
    • 文件大小:16978
    • 提供者:yk
  1. cpu

    0下载:
  2. vhdl编的cpu,自己的课程验收实验,微指令实现,流程详细。存储,加减基本运算均有,乘法使用位移相加法得到。其中excel有微程序控制信号的编码,储存ram编写,控制器rom编写等-vhdl code of cpu, its acceptance test program, microcode implementation process in detail. Storage, addition and subtraction are the basic operations, multipl
  3. 所属分类:assembly language

    • 发布日期:2017-05-09
    • 文件大小:2267396
    • 提供者:林云龙
  1. cpu

    0下载:
  2. 计算机组成原理假期课程设计“一个简单的CPU设计”,有全部的设计思路,能够实现四条简单指令-Principles of Computer Organization holidays curriculum design
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:724555
    • 提供者:张小虎
  1. CPU

    0下载:
  2. 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:187339
    • 提供者:znl
  1. CPU

    0下载:
  2. 从C语言到CPU的指令设计,设计了一个基本的CPU指令集-From the C language to CPU instruction design, the design of a basic CPU instruction set
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:2994
    • 提供者:刘海洋
  1. jisuanjizucheng3

    1下载:
  2. 计算机组成原理课程设计。基本模型机的设计—跳转、转移指令的实现 熟悉微程序控制的原理,掌握微程序的编制、写入并观察运行状态。明白每一条指令在内存、CPU中的存取和执行流程-Principles of curriculum design computer components. The basic model design- Jump, the realization of the transfer of command are familiar with the principle of mic
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:936240
    • 提供者:hsh
  1. cpu

    0下载:
  2. 用VHDL语言设计简单的CPU,重点设计微操作代码,然后设计CPU各组成模块,最后根据设计的微操作设计微指令,验证设计的正确性。可基本实现加、减、乘、除、移位、循环等操作。-VHDL language is designed to be simple to use the CPU, the focus of the design of micro-operation code, and then design the components of CPU module designed the f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1268588
    • 提供者:Rachel
  1. cpu

    1下载:
  2. 基于MIPS指令集的32位CPU设计与VHDL实现-Based on the MIPS instruction set of the 32-bit CPU design and the realization of VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-05-27
    • 文件大小:10553709
    • 提供者:gy
  1. zhilingji

    0下载:
  2. intel cpu的指令集,不是intel的帮助文件,是一个chm格式,只包含指令集。-instruction set of intel cpu, intel is not the Help file is a chm format, instruction set contains only.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:26431
    • 提供者:刘路
  1. CPU

    1下载:
  2. 用VC++模拟单周期cpu,是体系结构课程的一次作业,包括硬件设计,指令设计等,仅十几条汇编指令啦,程序还支持堆栈操作,能进行算术运算,输入运算表达式就能自动生成汇编代码,代码装载后可以调试运行,支持单步和全速运行-Using VC++ simulation of single-cycle cpu, is a one-stop course architecture, including hardware design, instruction design, only dozens of as
  3. 所属分类:Windows Kernel

  1. CPU

    0下载:
  2. 32位精简指令处理器 非流水线版 具有无极流水线-32bitRISK CPU without pipeline
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:22963
    • 提供者:Melody
  1. cpu

    0下载:
  2. 简单CPU 能处理10条简单CPU指令 不包括IO指令-Simple CPU can process 10 a simple CPU instructions do not include IO commands
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:953
    • 提供者:谭国强
  1. cpu

    0下载:
  2. 基于十二条简单汇编指令构成的一个cpu 采用vhdl语言编写 内附源代码 工具sylinx-Based on 12 simple assembly instructions consisting of a cpu using vhdl language source code tool sylinx included
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:694903
    • 提供者:张伟
  1. cpu

    0下载:
  2. 设计以及基本的CPU,至少包括四个基本单元,控制单元,内部寄存器,ALU和指令集-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very simple program to verify its perf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2196060
    • 提供者:mollyma
  1. KD-CPU

    1下载:
  2. 计算机原理课程设计给予Verilog做的课题,丰富的指令支持,LOOP,TRAP、以及子程序调用等-Principles of curriculum design to do the computer issues a rich instruction support, LOOP, TRAP, and subroutine calls, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-02
    • 文件大小:503808
    • 提供者:张鸿云
  1. cpu

    0下载:
  2. 包括1) 时钟发生器 2) 指令寄存器 3) 累加器 4) RISC CPU算术逻辑运算单元 5) 数据控制器 6) 状态控制器 7) 程序计数器 8) 地址多路器 -1) clock generator 2) instruction register 3) accumulator 4) RISC CPU arithmetic logical unit 5) of the data controller 6) state controller 7),
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:440449
    • 提供者:liuying
  1. CPU

    0下载:
  2. VHDL16位cpu,能实现加减法移动等指令-vhdl 16 cpu,include add,sub,move and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:19116
    • 提供者:王军
  1. CPU-Project

    0下载:
  2. CPU设计,包含基本的指令集,能执行简单的程序。考虑了CPU,寄存器,存储器和指令集之间的关系。即读写寄存器,读写存储器和执行指令。-CPU design, including basic instruction set, to execute a simple program. Consider the CPU, registers, memory, and the relationship between instruction sets. That read and write regis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3383216
    • 提供者:ilmf
« 12 3 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com