CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - crc check vhdl

搜索资源列表

  1. crc_32_16

    0下载:
  2. crc校验功能,用硬件语言实现,vhdl或者verilog实现。逻辑功能。-crc check function, hardware language, verilog or vhdl achieve. Logic function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:297370
    • 提供者:likj
  1. crc

    0下载:
  2. 自己写的循环冗余校验,进行了仿真,整个工程都在!-Wrote it myself, cyclic redundancy check carried out a simulation, the whole project are in!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:435729
    • 提供者:
  1. crc

    0下载:
  2. VHDL cyclic redundancy check generator und receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4159
    • 提供者:Digitalkurt
  1. crc

    0下载:
  2. CRC校验码的实现,校验码6位,寄存器串行实现方式,经项目实际验证正确-CRC Check Code realization Check 6, register serial ways, the right to verify the actual project
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-06
    • 文件大小:513
    • 提供者:fang
  1. crcsend

    0下载:
  2. 用vhdl代码实现循环冗余检验,CRC即Cycic Redundancy Check-Vhdl code used to achieve the cycle redundancy check, CRC that Cycic Redundancy Check
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:266124
    • 提供者:songbinghui
  1. crc

    0下载:
  2. 循环冗余校验码CRC的VerilogHDL源程序-CRC cyclic redundancy check code of the source VerilogHDL
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:683
    • 提供者:hh
  1. RFC_1622_CRC16_m

    1下载:
  2. RFC1662 CRC-16 table generation and CRC checking. Implemented in embedded matlab with scr ipt to test and enable c/c++ code generation. Useful fo check against VHDL/Verilog and other embedded systems to help generate test vectors.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1132
    • 提供者:spaander
  1. crc_explain

    0下载:
  2. 循环冗余校验 CRC 的算法分析和程序实现。通信的目的是要把信息及时可靠地传送给对方,因此要求一个通信系统传输消息必须可靠与快速,在数字通信系统中可靠与快速往往是一对矛盾。为了解决可靠性,通信系统都采用了差错控制。本文详细介绍了循环冗余校验CRC(Cyclic Redundancy Check)的差错控制原理及其算法实现-Cyclic Redundancy Check
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:106330
    • 提供者:朱红
  1. crcm

    0下载:
  2. 基于VHDL的5位经典的CRC校验码, 简单容易学习,-Based on the five classic VHDL CRC check codes, simple and easy to learn,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:294222
    • 提供者:Andy Lao
  1. crc

    0下载:
  2. crc校验,是用于编码中的一种校验方法,这是书本中学习的方法-crc check is a check for the encoding method, which is the method book to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4303
    • 提供者:luozhiyuan
  1. hdlc_rs

    0下载:
  2. 一种带有CRC校验、一次可连续发送1-15块16字节数据、带有曼彻斯特码的hdlc收发程序,在Altera中仿真并在实际芯片中试验过的程序-One kind with a CRC check, send a continuous block of 16 bytes of data 1-15, with Manchester' s hdlc receive procedures in the Altera chip simulation and tested in the actual pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6473
    • 提供者:周宽裕
  1. CRC

    0下载:
  2. CRC循环校验码的生成。文件里是(40,32)的校验码生成电路,采用串行输入、串行输出的方式。-CRC checksum generation cycle. File is (40,32) of the check code generation circuit, the use of serial input, serial output mode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:142933
    • 提供者:李雪茹
  1. PCK_CRC3_D4

    0下载:
  2. CRC校验码生存程序 校验序列码生成多项式: X16+X13+X12+X11+X10+X8+X6+X5+X2+1 输入数据为16个字节(128位),输出16bit校验序列-CRC, the survival program check sequence code generator polynomial: X16+ X13+ X12+ X11+ X10+ X8+ X6+ X5+ X2+1 input data is 16 bytes (128 bits), output 16bit
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:800
    • 提供者:weixin
  1. 33162769crcm

    0下载:
  2. 基于FPGA的差错控制编码,CRC循环校验码的VHDL程序代码,含仿真文件-FPGA-based error control coding, CRC cyclic check code VHDL code, including simulation file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1023
    • 提供者:Angela Lee
  1. crc

    0下载:
  2. 本代码是CRC循环冗余校验实例,包含顶层原理图文件,十分直观-The CRC is cyclic redundancy check code examples, including the top-level schematic file, very intuitive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:449068
    • 提供者:renjiale
  1. CRC

    0下载:
  2. 利用VHDL语言,用FPGA设计一个数据通信中常用的数据检错模块—循环冗余校验CRC模块,选用当前应用最广泛的EDA软件QUARTUS II作为开发平台-Using VHDL, FPGA design of a common data in data communication error detection module- Cyclic Redundancy Check (CRC) module, currently the most widely used EDA software QUAR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2364
    • 提供者:liangqing
  1. CRC

    0下载:
  2. 赛灵思的循环冗余校验(CRC),内服详细说明-The Cyclic Redundancy Check (CRC) is a checksum technique for testing data reliability and correctness. This application note shows how to implement Configurable CRC Modules with LocalLink interfaces. Users tailor the modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:211334
    • 提供者:我是谁
  1. Ethernet

    0下载:
  2. 简易以太网测试仪包含fifo缓冲模块,crc校验模块,检测和检测模块等(Simplified Ethernet Tester: including fifo modular, crc modular, check modular etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:2048
    • 提供者:loming
搜珍网 www.dssz.com