CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dc motor speed control using pwm

搜索资源列表

  1. PWM-motor

    2下载:
  2. 采用51单片机PWM方式控制直流电机转速,闭环系统,转速采用数码管显示,采用PROTEUS仿真-With 51 single-chip PWM control of DC motor speed, closed-loop system, the use of digital speed display, using PROTEUS simulation
  3. 所属分类:SCM

    • 发布日期:2015-07-01
    • 文件大小:76800
    • 提供者:陈永煌
  1. dc-motor

    0下载:
  2. PWM 控制 直流电机调速程序 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,电机速度变慢。 按K2,PWM值减小,则占空比增加,电机速度加快。-PWM DC Motor Speed Control using Timer control procedures have variable duty cycle PWM wave by K1, PWM values increase, the duty cycle decreases, the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:33268
    • 提供者:kamus
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. DCMotorSpeed

    0下载:
  2. embedded C code for DC motor speed control using PWM method
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1745
    • 提供者:SATHEESH
  1. motor

    0下载:
  2. 利用89C51的定时器产生PWM控制直流电机的转速及方向-89C51 generated using the timer PWM DC motor speed control and direction of
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:442815
    • 提供者:sky
  1. use_mcu_to_control_dcmotor

    0下载:
  2. 本设计以AT89C51单片机为核心,以4*4矩阵键盘做为输入达到控制直流电机的启停、速度和方向,完成了基本要求和发挥部分的要求。在设计中,采用了PWM技术对电机进行控制,通过对占空比的计算达到精确调速的目的。-The design AT89C51 microcontroller as the core, 4* 4 matrix keyboard as input to control DC motor start-stop, speed and direction, completed the
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:10168
    • 提供者:wangyin
  1. PWM

    0下载:
  2. 单片机利用PWM信号控制直流电机调速 接L298N时相应的管脚上最好接上10K的上拉电阻。 -Microcontroller using PWM signal control DC motor speed access L298N best when the corresponding pin of the pull-up resistor connected to the 10K.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:1216
    • 提供者:绿叶露珠
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. stc12dianji.RAR

    0下载:
  2. 直流电机调速程序,采用STC12C1052单片机,自带两路PWM输出,也可以使用软件中断定时来实现,速度闭环控制,采用霍尔反馈-DC motor speed control program, using STC12C1052 SCM, comes with Two PWM outputs can be achieved using software interrupt timer, speed control, using Hall feedback
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:125401
    • 提供者:zhangyang
  1. Brushless_DC_Motor_Control_System_and_Implementati

    0下载:
  2. 无刷直流电机控制系统的研究与实现 简单介绍了无刷直流电动机的工作原理,分析并确定了主回路的导通方式,控制器一是以专用芯片MC33035来进行设计,位置反馈元件有霍尔传感器反馈接口和编码器接口,开关器件采用MOSFET管,设计有转速反馈闭环控制、正反转、过电流保护等。控制器还采用89C52单片机、 MAX7219、AD667等来实现数字转速给定和转速显示。控制器二是基于PICSF4431电动机控制专用芯片,采用全数字PID控制,有转速、电流双反馈,位置反馈采用编码器反馈,开关器件采用IGBT,
  3. 所属分类:SCM

    • 发布日期:2014-08-01
    • 文件大小:3568640
    • 提供者:高娃
  1. IRobstacleavoidancerobotdesign

    0下载:
  2. 红外避障机器人设计--CCTV 2007机器人设计大赛 自动机器人的控制系统主要包括:CPU及外围电路、12V及24V直流电机驱动电路、直流电机保护电路、巡线传感器及其处理电路。CPU采用C8051Fxxx系列中的C8051F020单片机,外部晶振采用11.0592MHz;该自动机器人采用后轮驱动,电机采用直流电机,直流电机控制简单,使用PWM脉宽调制技术即可调节电机转速;而电机驱动电路采用双极性H桥电机驱动电路。-IR obstacle avoidance robot design- CC
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:864528
    • 提供者:
  1. pwm

    0下载:
  2. 利用cortex m3 为核心输出双PWM波形控制直流电机,和红外发射头,红外用于检测电机转速。利用l6205驱动电机-The use of cortex m3 core dual PWM waveform output control DC motor, and the first infrared transmitter, infrared is used to detect the motor speed. Drive motor using l6205
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:114346
    • 提供者:杨清风
  1. Program

    0下载:
  2. code for dc motor speed control using pwm
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:69030
    • 提供者:fawziya
  1. ff1584189003

    0下载:
  2. 直流电机调速利用c语言进行调pwm,然后对反馈速度进行分析,从而调整小车速度。包含Proteus仿真程序。-DC motor speed control using c language tune pwm and feedback speed analysis, so as to adjust the car speed. Contains the Proteus simulation》
  3. 所属分类:SCM

    • 发布日期:2017-11-26
    • 文件大小:709
    • 提供者:Junrui
  1. -dc-motor-control

    0下载:
  2. 用单片机完成直流电机的调速。也就是传统所说的PWM的整形-By using single chip processor to complete dc motor speed control.
  3. 所属分类:SCM

    • 发布日期:2017-12-04
    • 文件大小:8761
    • 提供者:lipeiyuan
  1. MOTOR_SPEED

    0下载:
  2. 用PWM技术对直流电机进行调速,并用LED进行指示-DC motor speed control using PWM technology, with LED indication
  3. 所属分类:SCM

    • 发布日期:2017-12-07
    • 文件大小:778
    • 提供者:叶国欣
  1. DC-motor-speed-control

    0下载:
  2. 单片机实现直流电机调速,利用定时器实现pwm控制,针对51单片机-MCU DC motor speed control using timer, pwm control, for 51 single-
  3. 所属分类:SCM

    • 发布日期:2017-11-10
    • 文件大小:13096
    • 提供者:陶真
  1. Motor-control-by-PWM-and-simulator

    0下载:
  2. 此文件包含PWM直流电机调速程序及protus仿真原理图,电机采用H桥电路驱动-This file contains PWM DC motor speed control procedures and protus simulation schematic, using the H-bridge motor drive circuit
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:29779
    • 提供者:流程
  1. DC-motor-speed-control-using-PWM-with-AVR

    0下载:
  2. this is good vedio of pulse width modulation
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1581915
    • 提供者:fazal ahmad
  1. PWM

    1下载:
  2. PWM调速直流电机实验,使用PWM输出控制直流电机的转速,有程序,有接线图,在keil下运行,已经在51单片机开发板上通过。-PWM DC motor speed control experiments, using the PWM outputs to control DC motor speed, there are procedures, wiring diagrams, operating under keil, 51 MCU development board has been thr
  3. 所属分类:SCM

    • 发布日期:2015-07-01
    • 文件大小:1215488
    • 提供者:毛毛
« 12 3 »
搜珍网 www.dssz.com