CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dice game vhdl

搜索资源列表

  1. Dice_game

    0下载:
  2. VHDL Project for beginners. Electronic dice game. Perfect for Spartan devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:12625
    • 提供者:mcholbi
  1. DiceFinal

    0下载:
  2. vhdl source code for dice game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:12114
    • 提供者:yoyo
  1. Lab_5-Dice-Game

    0下载:
  2. dice_game该程序可重现赌场游戏掷骰子. 游戏规则详见上传的LAB5实验描述。-dice_game The program can reproduce the casino game craps the game rules see the uploaded LAB5 experiments described.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:774328
    • 提供者:廖成晨
  1. dice-game

    0下载:
  2. dice game in vhdl program, perform in hex and control by switch in kit FPGA alterna De1
  3. 所属分类:Document

    • 发布日期:2017-05-21
    • 文件大小:6214200
    • 提供者:ha ha
  1. the_last

    0下载:
  2. VHDL语言实现两个人掷骰子游戏,最多6次,大者胜则结束游戏并在点阵上显示,一直平手则一直进行直到达到6次。-Achieving the dice game between two people by using VHDL language.The maximum number of times is 6.The game will over when there is a biger one in one time,otherwise,the game will continue until
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8778520
    • 提供者:Lancy Green
搜珍网 www.dssz.com