CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fft modelsim

搜索资源列表

  1. fftmatlab

    1下载:
  2. fft在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-fft in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7812
    • 提供者:zqh
  1. FFT_CORE

    0下载:
  2. FFT算法的VHDL语言实现 可在Modelsim上运行和调试 -FFT algorithm VHDL in the operation and Modelsim Debugging
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29769
    • 提供者:紫蓝
  1. modelsim

    1下载:
  2. 基于存储器的基4按频率抽取的fft 的vhdl描述 可以对连续数据流进行256点的fft
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23146
    • 提供者:庞志勇
  1. FFT_64point

    0下载:
  2. 该工程实现了一个64点DIF FFT,verilog编写,通过Modelsim功能仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2012-05-02
    • 文件大小:16799
    • 提供者:coolaler2010
  1. FFT288

    0下载:
  2. 本部分是128点的fft,经过了modelsim的仿真验证.里面采用了华莱士树等结构,整体结构采用2-It is 128 point fft,which has been verificated in the modelsim.In the verilog code ,we use hulaishi tree.we use 288 architecture to complete it.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1068369
    • 提供者:gaod
  1. Quartus_fft_ip_core.rar

    0下载:
  2. Quartus中fft ip core的使用(modelsim 仿真FFT ip core 结合QUARTUS II 联合调试),Fft ip core in Quartus use (modelsim simulation FFT ip core integration QUARTUS II Joint Commissioning)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:298606
    • 提供者:刘晓彬
  1. FFT

    2下载:
  2. Verilog实现的FFT模块,供OFDM调制系统使用,可供大家参考学习-Verilog implementation FFT module for the OFDM modulation system used for your reference study
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-15
    • 文件大小:1222193
    • 提供者:梁贵轩
  1. fft_model

    0下载:
  2. 基二的16点,每点16位FFT计算的modelsim完整工程,可以直接仿真运行-The base 2 of the 16 points, each 16-point FFT calculation modelsim full engineering, simulation can be directly run
  3. 所属分类:Algorithm

    • 发布日期:2017-04-04
    • 文件大小:307082
    • 提供者:谈钒
  1. 64R4SDFpoint_FFT

    3下载:
  2. 该工程实现了一个64点FFT,verilog编写,采用R4SDF结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point FFT, verilog compiled by R4SDF structure, through the Modelsim functional simulation, compression bag with rtl code, dc scr ipt, the output repo
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-04
    • 文件大小:1255028
    • 提供者:ShuChen
  1. 64pointFFTR2MDC

    6下载:
  2. 该工程实现了一个64点DIF FFT,verilog编写,采用R2MDC结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point DIF FFT, verilog compiled by R2MDC structure, through the Modelsim functional simulation, compression bag with rtl code, dc scr ipt, the out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:673140
    • 提供者:ShuChen
  1. 32Kfft

    0下载:
  2. 32KFFT例程,适用于Quartus II 5.0 or later。- This design example requires the following software package: o Quartus II 5.0 or later o FFT MegaCore v2.1.3 o ModelSim version 6.0 or later
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:998790
    • 提供者:J
  1. FFT_2c8

    0下载:
  2. 基于FPGA的fft,快速傅立叶变换,带仿真modelsim,硬件测试成功-FPGA-based fft, fast Fourier transform, with simulation modelsim, hardware test is successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13472496
    • 提供者:郑青松
  1. code

    0下载:
  2. c++语言转verilog语言,程序员不需要学习verilog即可对fpga原型进行快速仿真,本例为catapult c语言的fft程序,可以利用catapult转换工具转成verilog语言, 用modelsim进行仿真,并且可以加各种约束。-c++ program translate verilog program。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:17581
    • 提供者:wangjun
  1. fft_streaming

    0下载:
  2. 关于QuartusII FFT ip核的使用,采用Streaming模式,包含Modelsim仿真程序-About QuartusII FFT ip nuclear use, using Streaming mode, including Modelsim simulation program
  3. 所属分类:Other systems

    • 发布日期:2017-06-13
    • 文件大小:20543772
    • 提供者:ld
  1. fft1024-verilogCODE

    1下载:
  2. fft 1024点verilog代码,适用于基-4的FFT算法描述,使用quartus,modelsim,-fftpoint 1024 verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:52445
    • 提供者:tao
  1. FFT64DIF

    0下载:
  2. 实现64点的快速傅里叶运算,并用modelsim、matlab仿真。(Achieve fast Fourier operations at 64 points, and use Modelsim, matlab simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:20699136
    • 提供者:chenmm
  1. exp_fft_test_724

    0下载:
  2. 在quartus软件中调用FFT的IP核,编辑IP核的驱动模块,使得IP核读入数据进行处理,输出数据。使用modelsim进行联合仿真。(In the quartus software, the IP kernel of FFT is called, and the driver module of the IP kernel is edited, so that the IP kernel is read into the data for processing and output data
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:27558912
    • 提供者:XHF72
  1. fft_32k

    0下载:
  2. FFT 32K点设计实例v1.0.0自述文件 本自述文件包含以下部分: 工具要求 o Quartus II编译 o ModelSim仿真模型 o MATLAB模型(FFT 32K Point Design Example v1.0.0 README File This readme file for the Fast Fourier Transform (FFT) 32K Point Design contains information about the design exam
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1120256
    • 提供者:wsf-jv
搜珍网 www.dssz.com