CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fifo dual port

搜索资源列表

  1. my_ramlib_06

    0下载:
  2. 包括各种类型存储器的VHDL描述,如FIFO,双口RAM等 -including various types of memory VHDL descr iption, such as FIFO, Dual Port RAM, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:616055
    • 提供者:ruan
  1. fifo

    0下载:
  2. FIFO电路(first in,first out),内部藏有16bit×16word的Dual port RAM,依次读出已经写入的数据。因为不存在Address输入,所以请自行设计内藏的读写指针。由FIFO电路输出的EF信号(表示RAM内部的数据为空)和FF信号(表示RAM内部的数据为满)来表示RAM内部的状态,并且控制FIFO的输入信号WEN(写使能)和REN(读使能)。以及为了更好得控制FIFO电路,AEF(表示RAM内部的数据即将空)信号也同时输出。
  3. 所属分类:压缩解压

    • 发布日期:2014-01-17
    • 文件大小:1376
    • 提供者:史先生
  1. ramFIFO

    0下载:
  2. 双口RAM实现FIFO程序解释,说明.-FIFO dual-port RAM procedures to achieve explanation. Good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:616821
    • 提供者:nxl
  1. 13

    0下载:
  2. para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3394
    • 提供者:libing
  1. ASYNCFIFOXPXMOD

    0下载:
  2. 任意时钟配比的异步fifo.含有synplify ip库中的双端口ram。用于处理多时钟域问题。-Arbitrary ratio of asynchronous clock fifo. Containing synplify ip library of dual-port ram. Used to deal with the issue of multi-clock domain.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5285
    • 提供者:xupeixin
  1. connect20090223

    0下载:
  2. fpga从FIFO读数据并上传到双口ram中。-FPGA read data from the FIFO and upload it to dual-port ram Medium.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:469003
    • 提供者:张菁
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. fifo

    0下载:
  2. autonomous cascadable dual port FIFO
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-14
    • 文件大小:3763
    • 提供者:konda
  1. FIFO

    0下载:
  2. FIFO,双端口数据存储器,实现数据先入先出的存储器件-FIFO, dual port data memory, data FIFO memory device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:660
    • 提供者:清华
  1. memory_cores

    0下载:
  2. 通用ram源码包,包括双口ram,单口ram,fifo等-general ram source package,include dual port ram,single port ram,fifo,etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:36471
    • 提供者:
  1. FIFO

    0下载:
  2. FPGA内设计同步FIFO和异步FIFO,以及双口RAM的方法,FIFO设计的经验之谈,非常经典。-Synchronous FIFO and asynchronous FIFO, and dual-port RAM within the FPGA design,FIFO design rule of thumb, very classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2389369
    • 提供者:peter
  1. Dos_Pro---8.18

    0下载:
  2. 简易数字示波器,从AD接受双通道数据,存入内部fifo,并通过串口传至单片机实现波形显示-Simple digital oscilloscope, from AD to accept dual-channel data stored in the internal fifo waveform display and transmitted to the microcontroller through the serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:3658721
    • 提供者:jimmy
  1. fifo

    0下载:
  2. 设计一个同步的双端口fifo ,大小为8*128。-Designing a synchronous dual-port 8* 128 fifo using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:35537
    • 提供者:沈湛
  1. Dual_ram_verilog_CODE

    0下载:
  2. 写了FIFO中要用到的双口RAM的模块,FIFO中的RAM只用于读数据,输出数据,用写时针采集信号,读时针那一端不用读时针来采样.-Written to use the FIFO dual port RAM module, FIFO in the RAM is only used to read data, output data, the clock signal acquisition with write and read without reading that end of the h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:dagegegoni
  1. Synchronous-FIFO

    0下载:
  2. FIFO是英文FIRST-IN-FIRST-OUT的缩写,是一种先进先出的数据缓存器,它与普通存储器的区别是没有外部读写地址线,这样使用起来非常方便,但是缺点是只能顺序读写数据,其数据地址由内部读写指针自动加1完成 FIFO的主要功能是基于对双口RAM的读写控制来完成的,根据双口RAM的数据存储状况产生空满信号。双口RAM指的就是能同时对RAM进行读写操作的RAM存储器 -FIFO is an abbreviation of the English FIRST-IN-FIRST-OUT,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4055
    • 提供者:刘东辉
  1. syn_dp_fifo.v

    0下载:
  2. 同步双端口FIFO, 可同时读写,FIFO深度宽度可通过参数配置,带SV断言测试。(Dual Port Synchronization FIFO for ASIC/FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:1024
    • 提供者:junkaizhan
搜珍网 www.dssz.com