CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - flash spi fpga

搜索资源列表

  1. XILINX FPGA的MICROBLAZE处理器的SPI FLASH loader程序

    2下载:
  2. XILINX FPGA的MICROBLAZE处理器的SPI FLASH loader程序,XILINX FPGA the MicroBlaze processor SPI FLASH loader program
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-03-27
    • 文件大小:11651
    • 提供者:fg
  1. Xil3S1800ADSP_Rev1_serial_flash_config_v10.1.03.zi

    1下载:
  2. spartan3adsp spi flash-spartan3adsp spi flash loader
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:648308
    • 提供者:ye
  1. FPGA_SPI_FLASH

    1下载:
  2. 本应用指南讲述 Spartan-3E 系列中的串行外设接口 (SPI) 配置模式。SPI 配置模式拓宽了 SpartanTM-3E 设计人员可以使用的配置解决方案。SPI Flash 存储器件引脚少、封装外形小而 且货源广泛。本指南讨论用 SPI Flash 存储器件配置 Spartan-3E FPGA 所需的连接,并且介绍 SPI 模式的配置流程。本指南还提供一种实用工具,用于在原型开发过程中对选定的 STMicroelectronics 和 Atmel SPI 器件进
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:371376
    • 提供者:xth
  1. spiflashcontroller_latest.tar

    0下载:
  2. This VHDL module implements a state controller for a serial (SPI) Flash ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:721515
    • 提供者:mahmoud
  1. fpgaConfig_V1_2_SFLASH_20090507a

    0下载:
  2. 自己写的一个使用单片机配置FPGA的下位机C代码,使用一个C8051F330,外置SPI FLASH,通过串口可将程序写入FLASH,上电时自动加载到FPGA完成配置。-Wrote it myself, using a microcontroller to configure FPGA code for the next bit plane C, using a C8051F330, external SPI FLASH, the program is written through the s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2389089
    • 提供者:胡小平
  1. Nios_II_SPI

    0下载:
  2. 本源码为Nios II的开发示例,主要演示Nios II的SPI总线设计。开发环境QuartusII。 本示例十分经典,对基于SOPC开发的FPGA初学者有很大帮助。-The source code for the Nios II development of an example, the main demonstration Nios II design of the SPI bus. Development environment QuartusII. This example is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16035850
    • 提供者:huangshengqun
  1. FPGA_SPI_and_SPI_flash

    0下载:
  2. 关于SPI 方式FPGA配置和SPI flash编程的资料-FPGA configuration mode on the SPI and the SPI flash programming information
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:465930
    • 提供者:dpa
  1. SPIFlash_Emulation_Fusion_DF

    0下载:
  2. actel的SPI功能模块,可以控制存储器-actel fpga spi control flash memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9148
    • 提供者:zhouwj
  1. SPI-Flash

    0下载:
  2. 基于Xilinx-SPartan 3an FPGA 的与单片机 SPI 接口 参考设计-SPI interface base of Xilinx Spartan 3AN kid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2592673
    • 提供者:saladin
  1. Altera-memory

    0下载:
  2. 这个软件是altera 芯片对SPIflash的一个控制程序,里面读写测试已经通过。-spi flash code for VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:126251
    • 提供者:周明
  1. ug230.pdf

    0下载:
  2. The Spartan-3E Starter Kit board highlights the unique features of the Spartan-3E FPGA family and provides a convenient development board for embedded processing applications. The board highlights these features: • Spartan-3E FPGA specific fe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5851313
    • 提供者:Akalu Lentiro
  1. SPI_Flash_Config_Xilinx_FPGA_AN_C

    0下载:
  2. xilinx FPGA 平台的SPI flash 配置-SPI flash config for Xilinx FPGA platform
  3. 所属分类:Project Design

    • 发布日期:2017-11-18
    • 文件大小:318464
    • 提供者:wuxucheng
  1. DEMO_N

    0下载:
  2. FPGA NOISII程序,包含串口,FLASH,SPI等各种接口的程序,由原理图和VERLOG语言混合编写,非常适合初学者,开发环境为QUARTUS 9.0,芯片为EP2C208QC8N-The the FPGA NOISII program, including serial, FLASH, SPI, interface program, the schematic and VERLOG language prepared by mixing, ideal for beginners, de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-26
    • 文件大小:16405504
    • 提供者:黄小波
  1. FLASH_PCB

    0下载:
  2. M25P64-SPI-FLASH芯片的FPGA控制程序,已仿真验证-M25P64- SPI- FLASH chip FPGA control program, simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15890027
    • 提供者:jason
  1. spi_rdid

    7下载:
  2. FPGA 读取 N25Q128 SPI flash ID verilog程序(FPGA read spi flash n25q128 Read Identification)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:2013184
    • 提供者:在所不辞
  1. xapp1257-multiboot-fallback-spi-flash

    0下载:
  2. 基于外部flah的FPGA多重启动程序,在应用程序启动失败时能自动返回到基础程序(A FPGA multiple startup program based on external flah that automatically returns to the underlying program when the application startup fails)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 12_flash_test

    0下载:
  2. 对W25Q128的读写操作,spi 0 模式(read and write flash W25Q128)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:1677312
    • 提供者:sdayd
  1. spi_master

    0下载:
  2. SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(Th
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:3389440
    • 提供者:小云子
  1. flashZ

    2下载:
  2. FPGA控制m25p16flash芯片读写控制spi协议 可实现擦除写入读出功能(SPI protocol for read and write control of m25p16 flash chip controlled by FPGA Erase Write-Read Function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-03-04
    • 文件大小:724992
    • 提供者:SHNSHiNe
搜珍网 www.dssz.com