CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga elevator

搜索资源列表

  1. dianti.rar

    0下载:
  2. 以FPGA技术为基础,以VHDL为语言,以QuartusII为工具,设计一个5层楼的电梯控制器,To FPGA technology, to VHDL language to QuartusII as a tool to design a 5-story elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2450
    • 提供者:linyao
  1. automatic-elevator

    0下载:
  2. 使用VHDL语言编写的基于FPGA的自动升降电梯控制器-VHDL language using FPGA-based controller of automatic elevators
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-29
    • 文件大小:196455
    • 提供者:riluonanshan
  1. dianti

    0下载:
  2. vhdl代码: 电梯控制器程序设计与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Elevator controller design and simulation program! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:162739
    • 提供者:daxiadian2
  1. Elevator

    1下载:
  2. 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:162470
    • 提供者:xieyi
  1. lift.vhd

    0下载:
  2. 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1356
    • 提供者:董灏
  1. DSPFPGA

    0下载:
  2. 针对电梯数据采集数目较多和数据处理复杂等特点,提出了基于数字信号处理器(DSP)和现场可编程门阵列(FPGA)的电梯智能数据采集系统。在介绍了系统整体结构及各组成子模块后,给出了模块与器件之间硬件接口设计思路和架构,描述了整个系统的软件框架,设计了DSP、AD采样、网络通信和抗干扰等程序。整个系统在工程应用中易于实现,具有很好的推广价值-n accordance with the characteristic of elevator for the large number of data a
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:178781
    • 提供者:将建
  1. FPGA_SOPC

    0下载:
  2. FPGA/SOPC开发快速入门教程,FPGA 在复杂逻辑电路以及数字信号处理领域中扮演者越来越重要的角色,SOC(片上系统)以其低 功耗,高性能,低成本,高可靠性等优点成为嵌入式系统的发展趋势。作-QUARTUS II platform based on the VHDL language elevator system control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1512355
    • 提供者:蔡秀雄
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. wodewenjian

    0下载:
  2. 基于FPGA的电梯控制系统的设计 将电梯的运行状态划分为开门,一层,二层,三层,四层五个状态,设一层开门为电梯的初始状态,up1,up2,up3分别作为一层,二层,三层的上升请求,四层没有上升请求;down2,down3,down4分别作为二层,三层,四层的下降请求,同理一层是没有下降请求的;s1,s2,s3,s4分别作为一层,二层,三层,四层的停站请求;x1,x2,x3,x4分别作为一层,二层,三层,四层的停站请求显示;door作为门的状态,“0”表示关,“1”表示开;mode作为电梯的运
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:993
    • 提供者:吴海霞
  1. FPGA

    0下载:
  2. 在该文档中详细介绍了如何用FPGA语言对电梯进行群控管理。-In the document describes in detail how to use FPGA language elevator group control management.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7342168
    • 提供者:wangbaohua
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. dianticontrol

    0下载:
  2. 此源码为基于VERILOG的FPGA的电梯控制程序。-This source of FPGA-based VERILOG elevator control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:10548
    • 提供者:王强
  1. ControllingElevatorbyFPGACode.txt

    0下载:
  2. This code is talk about how to programming FPGA to control Elevator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3254
    • 提供者:N
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. diantikongzhixiqi2

    0下载:
  2. 基于FPGA的电梯控制器。其中一共分为3部分,1、显示电路的VHDL程序。2、9层电梯控制器主体的程序。3、顶层模块设计程序-The elevator control system based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:17979
    • 提供者:shanelai
  1. Elevator

    0下载:
  2. 基于FPGA学校开发板实现4层电梯控制,引脚配置完毕,通过LED灯实现楼层切换-FPGA-based school boards to achieve 4-story elevator control, pin configuration is completed, achieved through the floor switch LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:632744
    • 提供者:sun
  1. the-elevator-control-system

    0下载:
  2. 基于fpga的电梯控制系统设计,采用双电梯联动的方式-Fpga-based elevator control system design, using dual elevator linkage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75331
    • 提供者:凌应龙
  1. elevator

    0下载:
  2. fpga电梯控制程序,有很强的参考价值,希望大家能够喜欢。-fpga elevator control procedures, there is a strong reference value, I hope you will enjoy it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:776749
    • 提供者:崔凯华
  1. elevator

    0下载:
  2. 电梯运行的控制系统,FPGA实现,基于Verilog(Control system of elevator operation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:proud
  1. elevator

    0下载:
  2. 用verilog语言基于FPGA设计的五层智能电梯系统。(With verilog language based on FPGA design of five - floor intelligent elevator system.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-07-03
    • 文件大小:21481472
    • 提供者:Rebecca-
« 12 »
搜珍网 www.dssz.com