CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga_led

搜索资源列表

  1. fpga_led

    0下载:
  2. 这个源代码是由专业人士编的,其作用是点亮数码管,检验开发板的好坏!-This source code is made by professionals, and its role is to light up digital tube, test development board is good or bad!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:29254
    • 提供者:祝宁
  1. fpga_led

    0下载:
  2. 基于ep1c6的led控制器显示程序,已经在开发板上试验成功-Ep1c6 controller based on the led display program has been successfully tested in the development of on-board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:27920
    • 提供者:cjl
  1. fpgaexperiment

    0下载:
  2. 总共包括7个实验,SRRAM测试,按键及PIO口中断实验,定时器实验,seg7实验,sopc_led实验,FLASH少些,FPGA_led,锁相环。-Including a total of 7 experiments, SRRAM test, test buttons and PIO port interrupt, timer experiment, seg7 experiment, sopc_led experiment, FLASH less, FPGA_led, PLL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3242868
    • 提供者:Air
  1. fpgaexperiment_sourcecode

    0下载:
  2. FPGA实现七个实验的源程序。SDRAM测试,按键及PIO口中断实验,定时器实验,seg7实验,sopc_led实验,flash烧写,fpga_led,锁相环。-FPGA realization of the seven experiments of the source. SDRAM test, test buttons and PIO port interrupt, timer experiment, seg7 experiment, sopc_led test, flash program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12833863
    • 提供者:Air
  1. FPGA_LED

    0下载:
  2. FPGA入门点亮一个LED灯,作为FPGA入门级程序-FPGA is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2388448
    • 提供者:韦某
  1. fpga_led

    0下载:
  2. 一个简单的VHDL例子,教新手怎么用VHDl-A simple VHDL example, to teach the novice how to use VHDl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:29250
    • 提供者:沈旭科
  1. learning-FPGA_LED-articles

    0下载:
  2. 手把手教你学习FPGA—LED篇,带你轻松走进FPGA的世界!-Taught you learn FPGA-LED articles take you easily into the FPGA world!
  3. 所属分类:Communication

    • 发布日期:2017-12-06
    • 文件大小:424849
    • 提供者:周舟
  1. fPGA_LED

    0下载:
  2. FPGA开发板做的一个简单LED驱动,使用Verilog语言实现- This is an example of a simple 32 bit up-counter called simple_counter.v It has a single clock input and a 32-bit output port module simple_count(input clock , output end of module counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:1685514
    • 提供者:zhanghf
  1. fpga_led

    0下载:
  2. Verilog入门例子,学会如何开发VERILOG程序-Verilog entry, how to develop VERILOG program to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:39807
    • 提供者:小迪
  1. FPGA_LED

    0下载:
  2. NIOS II上实现,包含led的的控制verilogHDL,原理图的设计等等,直接用nios II打开就可以使用-NIOS II achieve control of verilogHDL contain led, schematic design, etc., directly nios II can be used to open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11425232
    • 提供者:朱阿伦
  1. FPGA_led

    0下载:
  2. fpga 一个简单的学习例子。动态数码管,很简单的。-fpga learning a simple example. Dynamic digital control, very simple.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:446795
    • 提供者:毕刚
搜珍网 www.dssz.com