CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - function generator vhdl

搜索资源列表

  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. DDS.rar

    0下载:
  2. 实现函数波形发生器的功能,内有用自己编的源代码实现的,也有用quartus的IP核实现的。,The realization of the function waveform generator function, useful for their own realization of the source code, it also uses the IP core quartus achieved.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1251726
    • 提供者:bluesky428
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. function_generator

    0下载:
  2. 采用VHDL语言写了一个函数发生器的程序。内含有各个模块,供大家参考,请多批评!-VHDL language used to write a function generator procedures. Contains various modules, for your reference, please criticize!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:12144
    • 提供者:dqtyp
  1. 1

    0下载:
  2. 多功能波形发生器 方波(占空比可调) 三角波 -Multi-function waveform generator square wave (variable duty cycle) triangular wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:652
    • 提供者:wanghua
  1. 200741691252

    1下载:
  2. dds源代码,vhdl程序,函数信号发生器。-dds source code, vhdl procedure, function signal generator.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:4859
    • 提供者:吴飞
  1. DDS

    0下载:
  2. 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1682936
    • 提供者:
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1794
    • 提供者:sdfs
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. Signal-generator4

    0下载:
  2. 基于VHDL语言的函数发生器的设计,基于FPGA的波形发生器-VHDL language based on the design of the function generator
  3. 所属分类:Document

    • 发布日期:2017-04-29
    • 文件大小:365407
    • 提供者:lww1986105
  1. VHDL

    0下载:
  2. 运用VHDL描述函数发生器的各个波形,可有构成多功能函数发生器。-VHDL descr iption of the use of various function generator waveforms, can constitute a multi-purpose function generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2914
    • 提供者:李昌积
  1. cordic

    0下载:
  2. 基于CORDIC算法的指数函数生成器的各种理论基础,通俗易懂-CORDIC algorithm based on exponential function generator for a variety of theoretical basis, user-friendly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2232555
    • 提供者:远 额
  1. ddfs

    0下载:
  2. vhdl编的dds函数发生器,完成sin(x)曲线的生成-vhdl function generator dds compiled to complete the sin (x) curve is generated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:90778
    • 提供者:王晓虎
  1. func_gen

    0下载:
  2. This code that genetes a square, sawtooth and a triangular waveform. It is useful for designing a function generator in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:881
    • 提供者:Joel
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. Function-Generator

    0下载:
  2. 函数发生器,VHDL的综合实验 可以产生不同的函数,并将它输出。-Function generator, VHDL comprehensive experiment can have different functions, and output it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2827104
    • 提供者:janesday
  1. sine-function-generator-by-VHDL

    0下载:
  2. 1、本实验模拟正弦函数发生器 2、使用逻辑分析仪查看波形 3、/proj/simulation目录中可以在modelsim中仿真-1, this experiment simulated sine function generator 2, the use of logic analyzer to check waveform 3,/proj/simulation directory in the modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:27080859
    • 提供者:朱岩
« 12 3 »
搜珍网 www.dssz.com