CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdb3

搜索资源列表

  1. HDB3编码

    0下载:
  2. 本程序是关于通信原理的HDB3编码及其功率谱密度
  3. 所属分类:源码下载

  1. HDB3.rar

    0下载:
  2. 通信原理HDB3码的仿真程序MATLAB中开发,Communication Theory HDB3 code simulation program developed in MATLAB
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-24
    • 文件大小:1104
    • 提供者:rjs
  1. HDB3

    4下载:
  2. HDB3码的编码,图形,功率谱密度。用于通信原理教学等-Code HDB3 coding, graphics, power spectral density. Communication Theory for teaching
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1545
    • 提供者:连自锋
  1. hdb3

    0下载:
  2. hdb3 AMI 码 这是自个儿用matlab编的关于HDB3,AMI的仿真 -hdb3 AMI
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1290
    • 提供者:谢静辉
  1. HDB3

    0下载:
  2. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:940
    • 提供者:
  1. HDB3

    1下载:
  2. 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:260243
    • 提供者:ganzhhua
  1. HDB3

    1下载:
  2. 用Verilog HDL语言进行HDB3编码,并通过Quartus Ⅱ仿真验证-With the Verilog HDL language HDB3 coding, and simulation by Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1362776
    • 提供者:jabeile
  1. HDB3

    0下载:
  2. hdb3的编解码实现,用c表述的 实际应用性不强,只为说明原理。-HDB3 codec realize, with the practical application of c expression is not strong, only to illustrate the principle.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5518
    • 提供者:lixingjian
  1. hdb3

    0下载:
  2. vhdl语言实现的hdb3编解码的功能,已完成调试。-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1345
    • 提供者:王英超
  1. HDB3

    0下载:
  2. 实现HDB3编码,使用VHDL语言,-1用01表示,1用10表示,0用00表示。-The realization of HDB3 encoding, the use of VHDL language, 01 indicated by-1, 1, 10, said that the 0 with 00.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:273781
    • 提供者:zhangzhen
  1. hdb3

    0下载:
  2. 基于vhdl的hdb3编译码器的设计与实现-hdb3
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:236378
    • 提供者:fdfilkj
  1. HDB3

    0下载:
  2. VHDL语言编写的HDB3码的编译码模块-VHDL language code HDB3 codec module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:439366
    • 提供者:容蓉
  1. HDB3

    0下载:
  2. 用VerilogHDL实现了从NRZ码到HDB3码的编码过程-NRZ code to HDB3 code using VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1187
    • 提供者:谈钒
  1. HDB3

    0下载:
  2. HDB3编码源程序,实现该编码的程序,编码源程序。vc++环境的。-hdb3 bian ma yuan cheng xu.shi xian hdb3 de bian ma .ji yu vc++ shi yan huan jing de cheng xu.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-25
    • 文件大小:270947
    • 提供者:麻麻
  1. HDB3

    0下载:
  2. hdb3的各类程序,包括解码,译码,以及分频,时延-hdb3 various types of procedures, including decoding, decoding, and the sub-frequency, time delay
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:2104521
    • 提供者:chencong
  1. HDB3

    0下载:
  2. HDB3 encoder and decoder-HDB3 decoer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:316203
    • 提供者:jkl
  1. hdb3

    1下载:
  2. 这是一个很全的HDB3译码的verilog程序,用于FPGA入门所用,verilog的入门很好的程序-This is a very wide of the HDB3 decoding verilog program for entry-FPGA used, verilog entry procedures for good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:719
    • 提供者:xxx
  1. HDB3

    0下载:
  2. HDB3码的MATLAB程序,经典,记得保留-HDB3 code MATLAB programs, classic, remember to retain
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1290
    • 提供者:张翠霞
  1. HDB3

    0下载:
  2. HDB3编码器的设计 HDB3编码器的设计 -HDB3 Encoder HDB3 Encoder HDB3 Encoder HDB3 Encoder
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:97507
    • 提供者:
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266187
    • 提供者:一天
« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com