CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - huffman in vhdl

搜索资源列表

  1. xapp616

    1下载:
  2. A Huffman implementation reference design in both VHDL and Verilog is provided by the Xilinx-A. Huffman implementation reference desig n in both VHDL and Verilog is provided by the Xili nx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13454
    • 提供者:窦洪山
  1. 000000adada2

    0下载:
  2. 数据结构,二叉树和哈夫曼编码。C++ 1、 学会针对DFA转换图实现相应的高级语言源程序 ·a C++ Class Library of Cr ·简单的防火墙,可以用来学习,作为毕业课设也相当有帮 ·实现ARM 芯片的一对PWM 输出用于控制直流电机 ·Programming the Microsoft ·VC调用java的简单例子。需要注意jvm.dll ·这是介绍在VC++6。0下如何编写GPIB程序。有 ·GPS坐标转换软件:直角坐标与大
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:3519
    • 提供者:ssss3162
  1. hf-vhdl

    0下载:
  2. A huffman code in VHDL.
  3. 所属分类:VHDL编程

  1. Huffman

    0下载:
  2. 用于视频运动图像编码的HUFFMAN编码,可广泛运用于MPEG-Moving Picture for video coding Huffman coding, can be widely applied to MPEG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1569235
    • 提供者:zs
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. huffmandecoder_latest.tar

    0下载:
  2. huffman decoder in groupmatchin algorithm
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-28
    • 文件大小:232387
    • 提供者:gopala
  1. VHDL_huffman_decoder

    0下载:
  2. This is a Huffman decoder with dynamic Huffcode tables. A Testbench for a jpg file is include.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:198808
    • 提供者:PCB
  1. cf_cordic_latest.tar

    0下载:
  2. 一个基于哈弗曼编码的解码器,用于jpeg格式的图片的解码,以及音频流解码。-Huffman code is used in the most streaming applications. I have written a Huffman decoder for jpeg pictures. For audio or other data streams the code have to adapt.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:460980
    • 提供者:赵恒
  1. huffman

    0下载:
  2. 哈弗曼编码的设计源代码以及测试源代码以及仿真结果图-Havermann source code design and testing source code and Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102260
    • 提供者:cong
  1. Huffman

    0下载:
  2. 用VHDL编写的huffman编码的源程序-With the VHDL source code written in huffman coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9853
    • 提供者:孙敬峰
  1. huffmandecoder_latest.tar

    0下载:
  2. huffman decoder and encoder blocks fully generated in vhdl code.hope it helps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:231881
    • 提供者:sh
  1. Huffmann-Coding-FPGA

    0下载:
  2. huffman coding in vhdl or verilog with explanation
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:478725
    • 提供者:sandeep
  1. vhdl-implementation-of-huffman-algorithm

    0下载:
  2. VHDL implementation of HUFFMAN algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5534
    • 提供者:anu
  1. MP3-coder

    0下载:
  2. In this design, it is assumed that a buffer sized as 1024x8 bits provides main data including scale factors and Huffman code bits to Huffman decoder. Also, it is assumed that a memory with 1024x8 bits is ready for each component to write or read t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:37356
    • 提供者:睿宸
  1. huffman

    0下载:
  2. huffman transform in vhdl language
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:8983
    • 提供者:Mehdi Souri
搜珍网 www.dssz.com