CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - huffman verilog

搜索资源列表

  1. xapp616

    1下载:
  2. A Huffman implementation reference design in both VHDL and Verilog is provided by the Xilinx-A. Huffman implementation reference desig n in both VHDL and Verilog is provided by the Xili nx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13454
    • 提供者:窦洪山
  1. H.265_X86_DEMO

    1下载:
  2. ZPAV(小名H265),凝集 形态,分形,模糊,小波,数字图象处理学 等数学精华, 我 感受到了她的威猛的能量,听到了她的呐喊!她如春雷, 震撼着 单薄数学(DCT+ME+HUFFMAN等)的MPEGxx和H26xx的古老统治! ZPAV (H.265) 基本算法 :V0,V6 用了 二维小波;V8 用了 三维小波;V9 用了 四维小波; P帧(ME) 使用了 小波域运动估计;声音(A0,A6,A8,A9), 运动矢量(MV) 使用了 广义小波。 Z
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:2714774
    • 提供者:彭珍
  1. huffman

    0下载:
  2. 用于FPGA的huffman算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10891
    • 提供者:caesar
  1. mp3_decoder

    0下载:
  2. MP3解码的VHDL实现,包括霍夫曼解码等-VHDL realization of MP3 decoding, including Huffman decoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34154
    • 提供者:asa
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. Huffmann-Coding-FPGA

    0下载:
  2. huffman coding in vhdl or verilog with explanation
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:478725
    • 提供者:sandeep
  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
  1. huff

    1下载:
  2. huffman encoder in verilog
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:5053
    • 提供者:sathish
  1. huffman

    1下载:
  2. 用verilog硬件语言实现了动态huffman编码,能够压缩字符串文件,展示了硬件的压缩率-Using verilog hardware descr iption language to achieve a dynamic huffman coding to compress the string file, showing the hardware compression rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2679
    • 提供者:张龙
  1. Haffman-encoding

    1下载:
  2. verilog implementation of huffman encoder with testbench
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:26104
    • 提供者:Setareh
  1. HDL_Syn_V3.1

    0下载:
  2. 哈夫曼编码 包括synthesis优化。 Huffman encoding verilog code including synthesis optimization.-Huffman coding involves synthesis optimization. Huffman encoding verilog code including synthesis optimization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:54477
    • 提供者:
  1. HUFFMAN-CODING

    0下载:
  2. huffman coding in verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:8310
    • 提供者:cpads
  1. Huffman_enc_dec

    0下载:
  2. Huffman encoder decoder verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:9143
    • 提供者:carlos andres
  1. Huffman-Decoder-master

    0下载:
  2. 用verilog编写的huffman解码程序(huffman decoder verilog)
  3. 所属分类:压缩解压

    • 发布日期:2018-01-07
    • 文件大小:4096
    • 提供者:张巨炮
  1. 哈夫曼

    2下载:
  2. 一种用verilog语言实现的霍夫曼压缩编码算法(Huffman compression implemented by Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-04-11
    • 文件大小:75776
    • 提供者:实现那是
搜珍网 www.dssz.com