CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - i2c PROTOCOL in verilog

搜索资源列表

  1. i2c_ip.zip

    1下载:
  2. I2C的ip核,Verilog实现,可以直接用在你的项目中。I2C是一种简单实用的通讯协议。,I2C' s ip nuclear, Verilog realization, you can directly use in your projects. I2C is a simple and practical protocol.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:2208070
    • 提供者:caibaiyin
  1. i2c

    0下载:
  2. I2C协议verilog源码,包含完整的测试代码及设计文档。-Verilog source I2C protocol, including the complete test code and design documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:351174
    • 提供者:高浩志
  1. I2C

    0下载:
  2. Verilog实现的I2C协议,直接在ISE下打开就可以-Verilog implementation I2C protocol to open directly in the ISE can be
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:212626
    • 提供者:Roy
  1. ex9

    0下载:
  2. 一个I2C通信协议的verilog代码,开发环境是Quartus 2,产生结果在数码管上显示-I2C communication protocol of a verilog code, development environment is Quartus 2, produce the results shown in the digital control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:261689
    • 提供者:周琼
  1. Source

    0下载:
  2. I2C 控制器的 Verilog源程序以及I2C规范说明-The I2C bus provides a simple two-wire means of communication. This protocol is used in many applications.SDRAM modules implement a serial EEPROM that supports the I2C protocol. This is used so that a micro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:9241
    • 提供者:zx
  1. i2c

    1下载:
  2. 使用verilog语言实现iic协议,可实现多字节读写(Implementation of IIC protocol in Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:1024
    • 提供者:小黑93
搜珍网 www.dssz.com