CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - jtd vhdl

搜索资源列表

  1. jtd

    0下载:
  2. VHDL实现交通灯-VHDL traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1812
    • 提供者:何征
  1. jtd

    0下载:
  2. 交通灯控制程序.实现十字路口的交通灯控制.使用vhdl编写,使用方便.-Traffic lights control procedures. The realization of the traffic signal controlled crossroads. The use of VHDL to prepare and easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:718224
    • 提供者:good
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. trafficcontrol

    0下载:
  2. 基于有限状态机的VHDL交通灯程序, 其中包括两种不同的思路;设计两种控制器 读者还可以根据实际应用更改设计,十分方便。-jtd vhdl traffic time control and led display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:7288
    • 提供者:lulin
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:41984
    • 提供者:卢陶
  1. tc

    0下载:
  2. 只有一个方向的交通灯!!!!有倒计时功能 -jtd
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1741
    • 提供者:biluo
  1. jtd

    0下载:
  2. 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290491
    • 提供者:蔡斌
  1. jtd

    0下载:
  2. 交通灯 vhdl 我要下载 请尽情下载 双大丰收地方-vhdl jtd this isxcfvxcf
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:317401
    • 提供者:李白
  1. jtd

    0下载:
  2. 主干道和支道红,绿,黄灯变化,并在数码光上显示出时间-Trunk Road and support Road, red, green, yellow change, and digital light show time for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:3893
    • 提供者:余涛
  1. jtd

    0下载:
  2. 交通灯 ADADDF VHDL-TRIFFIC LIGHT VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1055
    • 提供者:李楠
  1. jtd

    0下载:
  2. 交通灯vhdl程序,使用交通灯模块的 12个发光二极管,东西EW为主干道主干绿灯50秒,红灯30s,黄灯5s。-Vhdl program traffic lights, traffic lights use light-emitting diode module 12, East EW 50 seconds for the trunk main green, red 30s, yellow 5s.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:936
    • 提供者:zheyu
  1. jtd

    0下载:
  2. EDA(VHDL)开发的交通灯设计,经过测试检验,正常运行。-EDA (VHDL) development of the traffic light design, tested and tested and normal operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:420910
    • 提供者:开放
  1. jtd

    0下载:
  2. 基于VHDL设计城市交通十字路口交通灯源代码设计-traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:236018
    • 提供者:胡鹏
  1. jtd

    0下载:
  2. 用VHDL编写的智能交通灯控制器,使用的是状态机机制实现状态的转换-Prepared using VHDL intelligent traffic lights controller, using a state machine mechanism to realize the conversion of the state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1826816
    • 提供者:刘星
  1. jtd

    0下载:
  2. 应用FPGA中的VHDL语言编写交通灯程序-Application of VHDL language preparation FPGA traffic lights program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:309202
    • 提供者:xiaoyu
  1. jtd

    0下载:
  2. VHDL语言编写的模拟交通灯程序,已经通过实物调试成功。-The simulation traffic lights VHDL language program, has been through in-kind debugging success.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:167375
    • 提供者:吴达
  1. jtd

    0下载:
  2. 简易交通灯的VHDL程序 采用模块化的设计思想 采用状态机的形式编写主要的控制模块-Simple traffic light VHDL program uses a modular design concept in the form of a state machine to write the main control module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:680
    • 提供者:寒冷
  1. jtd

    0下载:
  2. 本人编的基于VHDL语言的流水灯代码,已经测试过,可以运行-Light water based on VHDL code I made, and has been tested, you can run
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:362251
    • 提供者:小黑妹
  1. JTD

    0下载:
  2. 基于VHDL编程软件的交通灯设计,红灯、黄灯、绿灯分别以不同时间闪烁。-VHDL programming software design based on traffic lights, red light, yellow light, green light flashes at different times respectively.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1415085
    • 提供者:黄慧敏
  1. jtd

    0下载:
  2. vhdl语言实现常用交通灯控制电路,用于控制某路口红绿黄三色信号灯(VHDL language is used to control the common traffic light control circuit to control the red, green and yellow three color signal lights at an intersection)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:2071552
    • 提供者:额丶额
« 12 »
搜珍网 www.dssz.com